Free On-line Dictionary of Computing | Acknowledgements | Missing definition |
! | !!!Batch | " |
# | $ | $1 |
$tonePits | % | & |
$ | ' | 'Snooze |
( | (()) | () |
(c) | (TM) | ) |
* | *brainfuck | *LISP |
*MOD | *w | + |
++ | , | - |
-- | --C-=C-C-- | -oid |
-ware | .) | .box |
.com | .cshrc | .env |
.Gertrude | .git/config | .login |
.mailrc | .mOdMoDwOrM | .NET |
.NET framework | .newsrc | .plan |
.twmrc | .yacuabll | / |
/actuator/health | /client/get_targets | /dev/null |
0 | 0/1 knapsack problem | 1 |
100BaseFX | 100BaseT | 100BaseTX |
100BaseVG | 100VG-AnyLAN | 10base2 |
10base5 | 10baseT | 120 reset |
1-2-3 | 1394 | 1541 |
1581 | 16000 | 16450 |
16550 | 16550A | 16650 |
16750C | 16 bit | 16-bit application |
16C550 | 16C750 | 16C850 |
1802 | 192.168.1.1 | 1NF |
1TBS | 1.TR.6 | 2 |
20-GATE | 2780 | 2B1D |
2B1Q | 2B+D | 2NF |
2.PAK | 32000 | 3270 |
32-bit application | 3780 | 386 |
386BSD | 386SPART.PAR | 386SX |
3Com Corporation | 3DNow! | 3DNow! Professional |
3DO | 3GL | 3NF |
3Station | 3-tier | 404 |
4.2BSD | 431A | 4.3BSD |
4510 | 473L Query | 486 |
486DX | 486SX | 4GL |
4NF | 4xx | 51forth |
56 kbps | 56k line | 586 |
5ESS | 5NF | 5th Glove |
6.001 | 610 | 6309 |
64-bit | 6501 | 6502 |
650x | 6510 | 6526 |
65816 | 6800 | 68000 |
68020 | 68030 | 68040 |
68050 | 68060 | 6809 |
680x0 | 686 | 68HC11 |
68LC040 | 6to4 | 6x86 |
754 | 80186 | 80188 |
80/20 rule | 802.1 | 802.11a |
802.11b | 802.11g | 802.2 |
802.3 | 80286 | 8031 |
80386 | 8048 | 80486 |
8051 | 8052 | 8080 |
8086 | 8088 | 80x86 |
822 | 82430FX | 82430HX |
82430MX | 82430VX | 8250 |
8.3 | 8450 | 8514 |
8514-A | 88000 | 88open |
8-bit clean | 8N1 | 8 queens problem |
8 queens puzzle | 8x86 | 90-90 Rule |
9PAC | :-) | ; |
< | <g> | <gr&d> |
= | > | ? |
?? | @ | @-party |
@Begin | @stake | @XX |
[incr Tcl] | \ | \begin |
\big | \BV | \perp |
\sqcap | \sqcup | \sqsubseteq |
\subseteq | \t | \uparrow |
\{@} | ^ | A# |
A-0 | a1 | A1 security |
A20 handler | A-3 | A3D |
A4C | a56 | AAB8 |
AAC | AADL | AAL |
AAP | AAP DTD | aard |
AARP | AARP probe packets | AAUI |
A&B | abbrev | Abbreviated Test Language for Avionics Systems |
ABC | ABC ALGOL | ABCL/1 |
ABCL/c+ | ABCL/R | ABCL/R2 |
abduction | ABEND | AberMUD |
ABI | ABLE | ABM |
ABNF | abort | ABP |
ABR | abscissa | ABSET |
absolute path | absolute pathname | abstract |
abstract class | abstract data type | abstract interpretation |
abstraction | abstract machine | Abstract Machine Notation |
abstract syntax | Abstract Syntax Notation 1 | abstract syntax tree |
Abstract-Type and Scheme-Definition Language | Abstract Windowing Toolkit | Abstract Window Toolkit |
ABSYS | AC2 | AC3 |
ACA | ACAP | Accelerated Graphics Port |
accelerator | Accent | accept |
Acceptable Use Policy | acceptance testing | Acceptance, Test Or Launch Language |
acceptor | Access | Access Control List |
access method | access permission | access point |
access time | ACCLAIM | Accounting File |
accounting management | Account Representative | ACCU |
accumulator | accuracy | ACE |
ACF | ACF/NCP | ACIA |
ACID | ACIS | ACK |
ACL | ACM | ACME |
ACOM | acorn | Acorn Archimedes |
Acorn Computer Group | Acorn Computers Ltd. | Acorn Online Media |
Acorn RISC Machine | A Coroutine Language | ACOS |
acoustic coupler | ACP | ACPI |
Acrobat | acronym | ACSE |
ACT | ACT++ | Act1 |
ACT 1 | Act2 | Act3 |
Actalk | Actis | activation record |
active DBMS | Active Directory | Active Language I |
active matrix display | Active Measurement Project | Active Monitor |
active object | Active Reconfiguring Message | active record pattern |
Active Server Pages | ActiveX | ActiveX Data Objects |
ACT ONE | Actor | actor |
Actors | actor/singer/waiter/webmaster | Actra |
actual argument | actuator/health | Actus |
AD | ad | Ada |
Ada++ | Ada 83 | Ada 95 |
Ada 9X | ADABAS | Ada Core Technologies |
Ada/Ed | Adaline | Ada Lovelace |
ADAM | Adam7 | Adamakegen |
ADAMO | Adam Osborne | Ada-O |
Adaplan | Adaplex | Ada Programming Support Environment |
ADAPT | Adaptable User Interface | Adaptec |
adaptive answering | Adaptive Communication Environment | Adaptive Digital Pulse Code Modulation |
adaptive learning | adaptive routing | Adaptive Server Enterprise |
Adaptive Simulated Annealing | Adaptive TRansform Acoustic Coding | Adaptor |
Ada Semantic Interface Specification | Ada Software Repository | A Data Management System |
ADC | ADCCP | A/D converter |
ADCU | AD/Cycle | ADD 1 TO COBOL GIVING COBOL |
ADDD | additive | address |
address book | address bus | addressed call mode |
addressee | addressing mode | address mask |
address resolution | Address Resolution Protocol | address space |
Address Strobe | ADELE | ADES |
ad-hockery | ad-hoc polymorphism | Aditi |
adjacency | adjacent | ADL |
AdLog | ADM | ADMD |
admin | Administration Management Domain | administrative distance |
Administrative Domain | admissible | ADO |
Adobe Systems, Inc. | Adobe Type Manager | ADPCM |
ADR | ADS | ADSL |
ADSP | ADSU | ADT |
Advanced Audio Coding | Advanced Communication Function/Network Control Program | Advanced Communications Function |
Advanced Computing Environment | Advanced Configuration and Power Interface | Advanced Data Communications Control Protocol |
Advanced Encryption Standard | Advanced Function Presentation | Advanced Function Printing |
Advanced Intelligent Tape | Advanced Interactive eXecutive | Advanced Micro Devices, Inc. |
Advanced Network Systems Architecture | Advanced Peer-to-Peer Networking | Advanced Power Management |
Advanced Programmable Interrupt Controller | Advanced Program-to-Program Communications | Advanced Research Projects Agency |
Advanced Research Projects Agency Network | Advanced Revelation | Advanced RISC Computing Specification |
Advanced RISC Machine | Advanced RISC Machines Ltd. | Advanced SCSI Peripheral Interface |
Advanced Software Environment | Advanced STatistical Analysis Program | Advanced Technology Attachment |
Advanced Technology Attachment Interface with Extensions | Advanced Video Coding | Advanced WavEffect |
Advantage Gen | ADVENT | Adventure Definition Language |
ADVSYS | adware | AE |
ae | AED | AEGIS |
Aegis | Aeolus | AEP |
aeroplane rule | AES | AESOP |
af | AFAC | AFAIK |
affine transformation | affordance | AFIPS |
AFJ | AFK | aflex |
AFNOR | AFP | AFS |
AFUU | ag | agent |
aggregate type | aggregation | aggregator |
AGL | AGM Theory for Belief Revision | Agner Krarup Erlang |
AGORA | AGP | AGP graphics |
A Hardware Programming Language | AHDL | AHPL |
AI | ai | AIA |
AI-complete | AID | AIDA |
AIDS | AIDX | AIFF |
AI International | Aiken code | AI koan |
AIMACO | Aimnet | Aion Development System |
AIR | AIr MAterial COmmand compiler | airplane rule |
AIT | AIX | Ajax |
AKC | AKCL | A. K. Erlang |
AKL | AL | al |
Aladdin Enterprises | Aladdin Systems, Inc. | ALADIN |
ALAM | Alan F. Shugart | A-language |
A Language Encouraging Program Hierarchy | A Language for Attributed Definitions | A Language with an Extensible Compiler |
Alan Kay | Alan M. Turing | Alan Shugart |
Alan Turing | ALARP | A-law |
ALC | Alcool-90 | ALCOR |
Aldat | ALDES | ALDiSP |
ALEC | ALEF | ALEPH |
Aleph | aleph 0 | alert |
Alex | Alexis | ALF |
Alfl | algebra | ALGEBRAIC |
algebraic | Algebraic Compiler and Translator | algebraic data type |
Algebraic Interpretive Dialogue | Algebraic Logic Functional language | Algebraic Manipulation Package |
Algebraic Specification Language | algebraic structure | Algebra of Communicating Processes |
ALGOL | ALGOL 58 | ALGOL 60 |
ALGOL 60 Modified | ALGOL 60 Revised | ALGOL 68 |
ALGOL 68C | ALGOL 68-R | ALGOL 68 Revised |
ALGOL 68RS | ALGOL 68S | ALGOL C |
ALGOL D | ALGOL N | ALGOL W |
ALGOL X | ALGOL Y | algorithim |
algorithm | ALgorithm DEScription | algorithmic art |
ALgorIthmic ASsembly language | Algorithmic Language | Algorithmic Model |
Algorithmic Processor Description Language | Algorithmic Test Case Generation | ALGY |
ALIAS | alias | aliasing |
aliasing bug | Alice | alife |
A-Life | ALJABR | al-Khwarizmi |
Allegro | all-elbows | ALLIANCE |
Allman style | allow-none | ALLOY |
ALM | Aloha | Aloha Net |
Alonzo Church | ALP | ALPAK |
ALPHA | Alpha | Alpha AXP 21164 |
alpha/beta pruning | alphabetic language | alpha conversion |
Alpha EV6 | Alpha Geek | alphanumeric |
alpha particle | Alphard | alpha testing |
ALPS | alt | ALTAC |
Altair 8800 | Alta Vista | alt bit |
ALTER | Alternating bit protocol | alternative text |
altmode | ALTRAN | alt.sources |
alt text | ALU | Aluminum Book |
Alvey | AM | am |
Amanda | A Manufacturing Language | amateur packet radio |
Amber | AMBIT | AMBIT/G |
AMBIT/L | AMBIT/S | AMBUSH |
AMD | AMD 29000 | AMD 29027 |
Amdahl | Amdahl Corporation | Amdahl's Law |
AMD Am2901 | AMD Am2903 | AMD Am2910 |
AMD K7 | American National Standard | American National Standards Institute |
American Society of Mechanical Engineers | American Standard Code for Information Interchange | American Telephone and Telegraph, Inc. |
American Wire Gauge | America On-Line, Inc. | America's Multimedia Online |
AMI | Amiga | Amiga E |
Aminet | AML | AML/E |
AMO | Amoeba | AMP |
amper | Ampere | ampersand |
AMPL | AMPLE | Amplitude Modulation |
amp off | AMPPL-II | AMPS |
AMS | AMTRAN | Amulet |
an | analog | analog computer |
Analog Hardware Design Language | analogue | analogue computer |
Analogy Model | analytical CRM | Analytical Engine |
Analytical Machine | Analytical Solutions Forum | anchor |
ANCP | AND | ANDF |
Andorra-I | Andorra Kernel Language | Andorra-Prolog |
Andrei Markov | Andrew File System | Andrew Fluegelman |
Andrew Message System | Andrew Project | Andrew S. Tanenbaum |
Andrew Tanenbaum | Andrew Toolkit | Andy Tanenbaum |
An Evolutionary System for On-line Programming | Angel | angle bracket |
Anglo-Saxon point | angry fruit salad | ANI |
Animated GIF | animation | Animus |
ANL | Anna | annealing |
annotate | ANNotated Ada | annotation |
annoybot | annoyware | Annual Change Traffic |
annulled branch | anonymous FTP | ANother Tool for Language Recognition |
ANR | ANS | ANSA |
ANSI | ANSI C | ANSI Minimal BASIC |
ANSI/SPARC | ANSI/SPARC Architecture | ANSI/SPARC model |
ANSI X12 | ANSI Z39.50 | antenna gain |
Anthony Hoare | anti-aliasing | antichain |
antisymmetric | antivirus | antivirus program |
antivirus software | ANTLR | ANU |
ANU ML | any key | anytime algorithm |
ao | AOCE | AOL |
AOP | AOS | APA |
Apache | Apache Software Foundation | APAL |
APAREL | A PArse REquest Language | APC |
APDL | APE | apE |
API | APIC | APL |
APL2 | APLGOL | APLWEB |
APM | Apollo Computer | apostrophe |
app | APPC | AppKit |
APPLE | Apple | Apple Address Resolution Protocol |
Apple Attachment Unit Interface | Apple Computer, Inc. | Apple II |
Apple Macintosh | Apple Newton | Apple Open Collaboration Environment |
AppleScript | Applesoft BASIC | applet |
Appletalk | AppleTalk Data Stream Protocol | AppleTalk Filing Protocol |
apple-touch-icon | apple-touch-icon-152x152.png | apple-touch-icon-152x152-precomposed.png |
apple-touch-icon-precomposed | appletviewer | application |
Application Binary Interface | Application Configuration Access Protocol | Application Control Architecture |
Application Developer | application development | application enablement services |
Application environment specification | Application Executive | Application Integration Architecture |
application layer | application lifecycle management | Application Portability Architecture |
application program | Application Program Interface | Application Programming Interface |
Application Protocol Data Unit | Applications Development Manager | application server |
Application Service Element | application service provider | applications language |
application software | Application Software Installation Server | Application-Specific Integrated Circuit |
Applications Programming Interface | applications software | application testing |
Application Visualisation System | applicative language | Applicative Language for Digital Signal Processing |
applicative order reduction | APPLOG | APPN |
approximation algorithm | April Fool's Joke | A Programming Language |
APSE | APT | APX III |
aq | AQL | ar |
arbitrary precision calculator | ARC | arc |
Arcade | ArchBSD | archie |
Archimedes | architecture | Architecture Neutral Distribution Format |
archive | archive site | ARCnet |
ARCS | Arctic | arena |
ARES | AREV | AREXX |
arg | argument | Argus |
Ariel | ARI Service | ARITH-MATIC |
Arithmetic and Logic Unit | arithmetic mean | arity |
arj | Arjuna | ARL |
ARM | ARM610 | ARM7 |
ARM710 | ARM7500 | ARM8 |
ARM800 | ARM Ltd | ARMM |
armour-plated | ARP | ARPA |
ARPANET | ARQ | array |
array processor | Array Processor Assembly Language | Array Theory |
arrow key | ART | art |
Artemis microkernel | Artifex | artificial intelligence |
Artificial Intelligence Lab | Artificial Life | artificial neural network |
Artisoft, Inc. | Artistic license | ARTSPEAK |
AS | as | as31 |
AS/400 | ASA | asap |
asbestos | asbestos cork award | asbestos longjohns |
ascender | ASCI | ASCII |
ASCII art | ASCIIbetical order | ASCIIbonics |
ASCII character table | ASCII graphics | ASDIMPL |
ASDL | ASDO IMPlementation Language | ASE |
A* search | ASF | ash |
Ashmedai | Ashton-Tate Corporation | ASIC |
Asiliant Technologies | A Simulation Process-Oriented Language | ASIS |
ASK | ASL | ASL+ |
As Low As Reasonably Practicable | ASM | ASME |
ASN | ASN.1 | ASP |
ASPECT | ASpecT | aspect |
aspect-oriented programming | aspect ratio | ASPEN |
ASPI | ASPIK | Aspirin |
ASPLE | ASPOL | ASQC |
ASR | assembler | ASSEMBLY |
assembly code | Assembly Language | assembly language |
Assembly Language Compiler | Assembly Language for Multics | as sensible as a dictionary |
assertion | ASSET | asset management |
Asset Source for Software Engineering Technology | assigned numbers | assignment |
assignment problem | Association Control Service Element | Association for Computational Linguistics |
Association for Computing | Association for Computing Machinery | Association for Progressive Communications |
Association for SIMULA Users | Association Française des Utilisateurs d'Unix | Association of American Publishers |
Association of C and C++ Users | Association of Lisp Users | associative array |
associative memory | Associative Memory Parallel Processing Language | associativity |
AST | ASTAP | AST Computers, LLC |
asterisk | asterix | Astra Digital Radio |
Astral | AST Research, Inc. | asymmetrical modulation |
Asymmetric Digital Subscriber Line | Asymmetric Digital Subscriber Loop | asynchronous |
Asynchronous Balanced Mode | Asynchronous Communications Interface Adapter | asynchronous logic |
Asynchronous Transfer Mode | asyncronous | AT |
at | AT-3 | ATA |
ATA-2 | ATA-4 | Atanasoff-Berry Computer |
Atanasoff, John Vincent | ATAPI | ATA point |
Atari | Atari ST | AT Attachment |
AT Attachment Packet Interface | AT bus architecture | AtFS |
Athena | Atherton Technology | Athlon |
ATIS | ATK | ATLAS |
Atlas Autocode | ATM | ATM Forum |
ATMP | atob | ATOLL |
atomic | A Tools Integration Standard | ATRAC |
ATS | at sign | AT&T |
Attachment Unit Interface | attacks as a service | AT&T Bell Labs |
attenuation | atto- | attoparsec |
attribute | Attributed File System | Attribute Translation System |
ATX | au | aubergine |
audio | audiographics | audiographic teleconferencing |
Audio IFF | AudioOne | Audio Processing Technology |
Audio Video Interleave | Augmented Backus-Naur Form | AUI |
A'UM | AUP | Aurora |
Austin Kyoto Common Lisp | authentication | authoring |
autobaud | autobogotiphobia | AutoCAD |
Autocode | AUTOCODER | autoconf |
autoconfiscate | Autodesk, Inc. | AUTOEXEC.BAT |
AUTOGRAF | AUTOGRP | Auto Idle |
Autolisp | autoloader | automagically |
automata | automata theory | Automated Engineering Design |
AUTOmated GRouPing system | Automated Retroactive Minimal Moderation | automated testing |
AUTOMATH | Automatically Programmed Tools | automatic baud rate detection |
automatic hyphenation | Automatic Mathematical TRANslation | Automatic Network Routing |
Automatic Number Identification | Automatic Repeat Request | Automatic Send Receive |
Automatic Sequence Controlled Calculator | automation | Automatische Rechenplanfertigung |
automaton | Automatrix, Inc. | Autonomous System |
Autonomous System Number | Autopass | autopilot code |
autoprojector | AUTO-PROMPT | Autostat |
autostereogram | A/UX | auxiliary storage |
av | availability | Avalon/C++ |
Avalon/Common LISP | avatar | AVC |
average seek time | AverStar | AVI |
Avon | AVS | aw |
AWE | AWG | awk |
AWT | aXe | AXIOM |
axiom | AXIOM* | Axiomatic Architecture Description Language |
axiomatic semantics | axiomatic set theory | Axiom of Choice |
Axiom of Comprehension | axiom schema | AXLE |
ayacc | AYT | az |
AZERTY | B | b |
B-0 | B1FF | B1 security |
B2B | B2 security | B3 security |
b4 | ba | Baan |
Babbage | Babbage, Charles | babbling error |
BABEL | BABT | Baby AT |
BABYLON | BACAIC | Bachman Diagram |
Bachman Information Systems | backbone | backbone cabal |
backbone network | backbone site | back door |
back-end | Back End Generator | Back End Generator Language |
background | backing store | back link |
backoff | BackOffice | backplane |
backport | back-propagation | back quote |
backronym | backside cache | backslash |
backspace | backtick | backtracking |
backup | Backup Domain Controller | backup pumpkin |
backup rotation | backup software | Backus-Naur Form |
Backus Normal Form | backward analysis | backward chaining |
backward combatability | backward compatibility | backward compatible |
backwards compatibility | backwards compatible | BAD |
Bad command or file name | Bad Thing | bag on the side |
baklava code | BAL | balanced computing |
balanced tree | BALGOL | BALITAC |
BALM | balun | Banach algebra |
Banach inverse mapping theorem | Banach space | Banach-Tarski paradox |
banana label | banana phenomenon | banana problem |
bandwidth | bang | bang on |
bang path | banner | Banyan |
BAP | BAPI | bar |
Barbara Liskov | bar code | bare metal |
barfmail | barnacle code | Baroque |
baroque | barrel shifter | barycentric |
base | base 64 | baseband |
base class | baseline | base memory |
basename | Base Technology | bash |
BASIC | Basic Assembly Language | BASIC AUTOCODER |
Basic COBOL | Basic Encoding Rules | Basic Fortran |
Basic Input/Output System | Basic JOVIAL | Basic Language for Implementation of System Software |
Basic Multilingual Plane | Basic Object Adapter | Basic Object System |
Basic Operating System | Basic Programming Support | Basic Rate Interface |
Basic Service Set | BASIC V | Bastard Operator From Hell |
bastion host | batch | batch file |
batch processing | bathtub curve | baud |
baud barf | Baudot | Baudotbetical order |
Baudot code | baud rate | bawk |
bay | baz | bb |
BBC | BBC Micro | BBC Microcomputer |
BBC Networking Club | BBL | BBN Butterfly |
BBN Technologies | bboard | BBS |
BC | BCBF | BCC |
BCD | BCL | BC NELIAC |
BCNU | BCPL | BCS |
bd | BDC | BDL |
BDPA | be | BEA |
beam | beamer | beam search |
bean | beanie key | bearer channel |
bear paw | Beats the shit outa me | Bebo |
BeBOP | BeBox | BEDO |
Bedrock | beep | beeper |
BEG | BEGL | beige toaster |
Be Inc | BEL | belief revision |
BELL | Bell | bell |
Bell 103 | Bell Communications Research, Inc | Bellcore |
bell curve | Bell Laboratories | Bell Labs |
bells and whistles | bells, whistles, and gongs | benchmark |
Bend Over, Here It Comes Again | Benoit B. Mandelbrot | Benoit Mandelbrot |
Bentley Systems, Inc. | BeOS | BER |
Berard Object and Class Specifier | Berkeley | Berkeley 4.2 |
Berkeley EDIF200 | Berkeley FP | Berkeley Internet Name Domain |
Berkeley Logo | Berkeley Network | Berkeley Quality Software |
Berkeley Software Design, Inc | Berkeley Software Distribution | Berkeley Softworks |
Berkeley Unix | Berkeley Yacc | berklix |
Berners-Lee, Tim | Bernoulli Box | Bernoulli principle |
Bernstein condition | BERR | Bertrand |
Bertrand Meyer | Bertrand Russell | Berzerkeley |
Berzerkley | bespoke | best effort |
best first search | best fit | BETA |
beta | beta abstraction | beta conversion |
Betamaxed | beta reduction | beta test |
beta testing | beta version | Bezier |
Bezier curve | Bezier surface | bf |
BFI | bg | BGA |
bgh | BGP | bh |
BHC Code | bi | bib |
bible | BIBOP | BibTeX |
BiCapitalisation | BiCMOS | bidirectional printing |
Bidouilleurs Sans Argent | bi-endian | BIFF |
biff | Big bag of pages | big blue |
big-endian | Big Gray Wall | big iron |
BIG-LAN | Bigloo | bignum |
bigot | Big Red Switch | Big Room |
big win | bijection | Bill Gates |
Bill Joy | binaries | binary |
binary coded decimal | Binary Compatibility Standard | binary counter |
binary data | binary exponential backoff | binary file |
binary large object | binary package | binary prefix |
binary relation | binary search | Binary Synchronous Transmission |
binary tree | BIND | bindery |
binding handle | binding-time analysis | BinHex |
Binhex 4.0 | BinProlog | bioinformatics |
BioMeDical Package | biometric device | biometrics |
BIOR | BIOS | BIP |
bipartite graph | BIPM | bipolar |
bipolar transistor | BIPS | Bird-Meertens Formalism |
Birds Of a Feather | BISDN | Bison |
Bison++ | BIST | bisync |
bit | bit bang | bit bashing |
bitblt | bit bucket | bit decay |
bit diddling | bite | Bit Error Rate |
bit field | bitmap | bitmap display |
bitmap font | bitmapped display | bit mask |
BITNET | bitonal image | bit-paired keyboard |
bit pattern | bit plane | bit rate |
bit-robbing | bit rot | bit slice |
bits per inch | bits per pixel | bits per second |
bit string | bit stuffing | BitTorrent |
bit twiddling | bitty box | bitwise |
bitwise complement | bixie | biz-core stability |
bj | Bjarne Stroustrup | BJC4000 |
black art | black box | black-box testing |
Black Data Processing Associates | black hat | black hole |
BlackIce | black magic | blargh |
blast | blat | BLAZE |
BLAZE 2 | bleam | bleeper |
bletcherous | Bletchley Park | Blind Carbon Copy |
B-LINE | blinkenlights | BLISS |
BLISS-10 | BLISS-11 | BLISS-16C |
BLISS-32 | BLISS-36 | blit |
blitter | blivet | bloat |
bloatware | BLOB | block |
Block And List Manipulation | Block Diagram Compiler | blocked records |
Block Redundancy Check | Block Started by Symbol | block-structured |
block transfer computations | blog | blog-driven development |
Bloggs Family, the | Bloombug | Blosim |
blow an EPROM | blow away | blowing your buffer |
blow out | blow past | blow up |
BLOX | BLT | Blue |
Blue Book | Blue Box | blue box |
blue dot syndrome | Blue Glue | Blue Screen of Death |
Blue Screen of Life | Blue Sky Software | Bluetooth |
blue wire | blurgle | bm |
BMAN | BMASF | BMDP |
B-Method | BMF | BMP |
bmp | BMWF | bn |
BNC | BNF | BNR Pascal |
BNR Prolog | bo | BOA |
boa | board | boat anchor |
Bob | Bobo the Webmonkey | BOCS |
Boehm B. | BOEING | Boeing Airplane Company Algebraic Interpreter Coding |
BOFH | bogometer | BogoMips |
bogon | bogon filter | bogon flux |
bogosity | bogo-sort | bogotify |
bogue out | BOHICA | Bohr bug |
boink | BOLERO | Bolt, Beranek and Newman, Inc. |
bomb | bon | bondage-and-discipline language |
bonk/oif | Booch method | book |
bookmark | Bookreader | book titles |
Bookviewer | bool | Boolean |
Boolean algebra | Boolean logic | Boolean search |
Boole, George | Booster | boot |
boot block | boot disk | booting |
BOOTP | bootstrap | bootstrap loader |
boot virus | Border Gateway Protocol | borf |
Borland International, Inc. | Borland Software Corporation | BOS |
Bose-Chaudhuri-Hocquenghem Code | BOSS | bot |
botmaster | botnet | botnet herder |
bottom | BottomFeeder | bottom feeder |
bottom-unique | bottom-up implementation | bottom-up model |
bottom-up testing | botwar | bounce |
bounce message | boundary scan | boundary value |
boundary value analysis | bounded | boundedly complete |
bound variable | Bourne Again SHell | bournebasic |
Bourne shell | boustrophedonic | box |
boxed comments | boxen | Boxer |
boxology | Boycott Apple | bozotic |
BPEL | BPI | bpmake |
bpp | BPR | BPS |
bps | BQS | br |
brace | bracket | bracket abstraction |
braille | braille display | Braille embosser |
Braille printer | Brain Aid Prolog | brain-damaged |
brain-dead | brain dump | brain fart |
Brainfuck | braino | branch |
Branch and Hang | branch coverage testing | branch delay slot |
Branch on Chip Box Full | branch prediction | Branch Target Buffer |
branch to Fishkill | Brazil | BRB |
breadcrumbs | breadth-first search | break |
break-even point | breakpoint | break statement |
breath-of-life packet | breedle | BRH |
BRI | Brian Reid | BRIDGE |
bridge | Bridgetalk | briefcase |
brightness | Brilliant | bring X to its knees |
British Broadcasting Corporation | British Library Method | British Standards Institute |
British Telecom | British Telecom Research Laboratories | brittle |
broadband | broadcast | broadcast quality video |
broadcast storm | Broadway | brochureware |
broken | broken arrow | Broken As Designed |
broker | broket | brontobyte |
Brooks's Law | brouter | Brouwer Fixed-Point Theorem |
Brown and Sharpe Wire Gauge | brown paper bag bug | browser |
browserconfig.xml | BRS | BRUIN |
brute force | brute force and ignorance | brute force attack |
BS | bs | BS2000 |
BSA | BSD | BSD386 |
BSDI | BSD/OS | BSD Unix |
BSI | BSL | BSOD |
BSOL | BSOM | BSP method |
BSRAM | BSS | BSS segment |
BST | bt | BTB |
btoa | B-Toolkit | BTOS |
B-tree | BTRIEVE | BTRIEVE Technologies, Inc. |
BTRL | BTS | BTW |
BUAF | BUAG | bubble memory |
bubble sort | bucky bits | buffer |
buffered write-through | buffer overflow | buffer overrun |
bug | bug-compatible | bug fix |
bug fix release | Bugfoot | bug-for-bug compatible |
buglix | bugs | BUGSYS |
bug tracking system | Bugzilla | build |
built-in | Built-in Self Test | Bull |
bulletin board | bulletin board system | bullet-proof |
bullet-proof hosting | Bull Information Systems | bum |
bump | bundle.js | burble |
Bureau International des Poids et Mesures | Burge's Language | buried treasure |
burn-in | burn-in period | Burroughs Corporation |
Burst EDO | Burst Extended Data Out DRAM | burst page |
Burst Static Random Access Memory | bus | bus error |
Bush, Vannevar | Business Analyst | Business Application Programming Interface |
Business Process Re-engineering | Business Software Alliance | Business Systems Analyst |
business to business | bus master | bus mastering |
bus network | bus topology | Busy Beaver |
busy-loop | busy-wait | Butterfly Common LISP |
Butterfly Scheme | button | buzz |
bv | bw | bwBASIC |
BWQ | by | byacc |
by hand | Byte | byte |
byte-code | byte-code compiler | byte-code interpreter |
byte compiler | bytesexual | Byzantine |
bz | bzzzt, wrong | C |
C# | C* | C++ |
C+- | C+@ | C-10 |
C1 security | c2man | C2 security |
c386 | C5 | C64 |
c68 | CA | ca |
cable modem | cache | cache block |
cache coherency | cache conflict | cache consistency |
cache hit | cache line | cache memory |
cache miss | Cache On A STick | caching |
CACI | CACM | CAD |
CAD/CAM | CADD | Cadence Design Systems |
CADET | CADRE | CAE |
CAF | CAFE | CAGE |
CAI | Cairo | CAIS |
CAIS-A | CAiSE | CAJOLE |
CAL | Calc | calculator |
Calculus of Communicating Systems | Calendar API | Calendar Application Programming Interface |
Caliban | Calico | California State University San Marcos |
callback | call-by-name | call-by-need |
call-by-reference | call-by-value | call-by-value-result |
call/cc | Call Data Record | callee |
Caller ID | calling convention | Call-Level Interface |
Call Unix | Callware | call-with-current-continuation |
CALS | Caltech Intermediate Form | CAM |
CAM-6 | CAMAL | Cambridge Lisp |
CamelCase | Camelot Library | camera ready |
CAMIL | CAML | Caml Light |
CAM-PC | Campus Wide Information System | CAN |
Canada | Cancel | Cancelbunny |
Cancelm00se | Cancelmoose | Cancelpoodle |
candidate key | Candle | candygrammar |
canonical | Canonical Encoding Rules | canonical name |
canonicity | C (ANSI) | can't happen |
Cantor | CAP | Capabilities Maturity Model |
capability | Capability Maturity Model | capacitor |
capacity | CAPI | Cap'n Crunch |
Captain Abstraction | Captain Crunch | CAPTCHA |
car | card | Cardbox for Windows |
Cardbus | card creep | cardinality |
cardinal number | CARDS | card walloper |
Career Limiting Move | caret | careware |
cargo cult programming | Caribou CodeWorks | Carl Friedrich Gauss |
Carnegie Mellon University | carpal tunnel syndrome | carriage return |
Carrierless Amplitude/Phase Modulation | carrier scanner | carrier signal |
Cartesian coordinates | Cartesian product | CAS |
CAS 8051 Assembler | cascade | Cascading Style Sheets |
CASE | case | case and paste |
case based reasoning | CASE Data Interchange Format | CASE framework |
case insensitive | Case Integration Services | CASE*Method |
case sensitive | case sensitivity | CASE SOAP III |
case statement | CASE tools | cashe |
CAST | cast | casters-up mode |
casting the runes | CAT | cat |
Cat 3 | Cat 5 | catatonic |
CATE | Categorical Abstract Machine Language | category |
Category 3 | Category 5 | CA-Telon |
cathode ray tube | CATIA | cationic cocktail |
C/ATLAS | CATNIP | CATO |
Cauchy sequence | cause-effect graphing | CAV |
Cayenne Software, Inc. | CAYLEY | cb |
CBASIC | CBBS | C-BC |
CBD | C Beautifier | CBIR |
CBN | CBR | CBT |
CBV | CBVIR | cbw |
cc | CC++ | C/C++ |
CCalc | CCD | CCIRN |
CCITT | CCITT HIgh-Level Language | CCL |
CCLU | ccmail | cc:mail |
CCP | CCR | CCS |
CCSP | CCTA | CD |
cd | CDA | CD burner |
CDC | CDC 6600 | CDDI |
CDD/Plus | CDE | CDF |
CD-i | CDIF | CDL |
CDM | CDMA | CDP1802 |
CDPD | CDR | cdr |
CD-Read-Write | CD-Rewritable | CD-ROM |
CD-ROM drive | CD-RW | CDS |
cd tilde | CDW | CE |
Cecil | Cedar | CEEMAC+ |
CEI-PACT | Celeron | CELIP |
cell | Cellang | CELLAS |
Cello | cell reference | CELLSIM |
CEll Space Simulation Language | Cellular | cellular automata |
cellular automaton | Cellular Digital Packet Data | cellular multiprocessing |
Cellular Neural Network | CELP | CEN |
CENELEC | centi-call second | central office |
Central office exchange service | central processing unit | Centrex |
Centronics | Centrum voor Wiskunde en Informatica | centum call second |
century meltdown | CEN/XFS | cepstra |
cepstrum | CEPT | CER |
Ceramic Pin Grid Array | Ceres workstation Oberon System | Cerf, Vint |
CERN | CERNLIB | CERT |
Certificate Authority | CESP | CESSL |
cextract | cf | CFD |
CFG | CFML | cforth |
cfortran.h | CFP | CFP92 |
cg | CGA | CGGL |
CGI | cgi-bin | CGI Joe |
CGI program | CGI script | CGM |
CGOL | cgram | Ch |
ch | chad | chad box |
Chadless keypunch | chain | Challenge-Handshake Authentication Protocol |
Chalmers University of Technology | change management | changeover |
channel | channel associated signaling | channel hopping |
channel op | channel service unit | channel service unit/data service unit |
chan op | chaos | CHAP |
char | character | character encoding |
character encoding scheme | character graphics | characteristic function |
character key | character repertoire | character set |
character set identifier | charge-coupled device | CHARITY |
charityware | Charles Babbage | Charles Bachman |
Charles Simonyi | CHARM | CHARM++ |
Charme | CHARYBDIS | chase pointers |
CHASM | chat | chatbot |
chat room | chatterbot | CHeap ASseMbler |
cheapernet | checkdigit | Checkout Test language |
checkpoint | checksum | chemist |
Chen | CHEOPS | Chernobyl packet |
chess | CHI | Chicago |
chicken head | chicklet keyboard | chiclet keyboard |
Chief Information Officer | child | child process |
child record | child version | CHILI |
CHILL | Chimera | chine nual |
Chinese Army technique | CHIP | chip |
CHIP-48 | CHIP-8 | chip art |
chip box | chip creep | chip graffiti |
Chip Jewelry | Chip Scale Packaging | chip set |
Chips & Technologies | CHISEL | chm |
chmod | CHOCS | choke |
chomp | CHOP | Chop |
Chorus | Christmas tree | Christmas tree packet |
Christopher Strachey | chromatic number | chrome |
chroot | CHRP | chug |
chug report | chunker | Church, Alonzo |
Church integer | Church of the SubGenius | Church-Rosser Theorem |
ci | CI$ | CICERO |
Cichlid | CICS | CID |
CIDR | CIEL | CIF |
CIFS | Cigale | CIL |
CIM | CIMS PL/I | Cinderella Book |
CINT92 | C-Interp | CIO |
ciphertext | CIP-L | CIP Language |
CIR | CIRCAL | circuit |
CIRcuit CALculus | circuit switch | circuit switched |
circuit switching | circular buffer | Cirrus Logic |
CIS | CISC | Cisco Systems, Inc. |
CISI | CITRAN | CityScape |
CIX | CJK | CJKV |
ck | CL | cl |
CLAM | C Language Integrated Production System | Clarify |
Clarion | Claris | CLASP |
class | Class 5 switch | class hierarchy |
classic | Classic-Ada | classical logic |
Classic C | Classless Inter-Domain Routing | class library |
class method | class object | Class Oriented Ring Associated Language |
Class-Relation Method | Clausal Language | clause |
Clean | clean | cleanroom |
CLEAR | clear box testing | Clear Language for Expressing Orders |
CLEO | CLHEP | CLI |
CLiCC | click | client |
client/get_targets | client-server | client/server |
Client-Server Analyst Programmer | client-server model | Client To Client Protocol |
C++Linda | C-Linda | CLIP |
CLiP | clipboard | Clipper |
CLIPS | clique | CLISP |
Clive Sinclair | CLIX | CLM |
CLNP | clobber | clock |
clock frequency | clock rate | clock speed |
clone | clone-and-hack coding | clonebot |
CLOS | close brace | closed-box testing |
closed interval | closed set | closed term |
close parenthesis | Clos network | closure |
closure conversion | cloud | cloud computing |
Clover | clover key | CLP |
CLP* | CLP(R) | CLP(sigma*) |
CLR | CLtL1 | CLtL2 |
CLU | clu2c | cluster |
Cluster 86 | clustergeeking | clustering |
CLUT | CLV | CLX |
CM | cm | CMA |
CMAY | CMC | cmd |
CMGA | CMIP | CMIS |
CML | CMM | CMOS |
CMP | CMS | CMS-2 |
CMU | CMU CL | CMU Common Lisp |
CMVC | CMYK | CMZ |
cn | CNAME | CNC |
CNET | CNI | C|N>K |
CNN | CNR | CNRI |
co | CO2 | Coad/Yourdon |
COALA | coalesced sum | Coalition for Networked Information |
coarse grain | COAST | coax |
coaxial cable | COBOL | COBOL-1961 Extended |
COBOL fingers | COBRA | cobwebsite |
Cocktail | cocktail shaker sort | CoCo |
Cocol | Coco Language | Cocol/R |
COCOMO | Coco/R | CODASYL |
Codd's First Normal Form | Codd's reduction algorithm | code |
Code 2.0 | codebook | CODEC |
CodeCenter | coded character set | Code Division Multiple Access |
code division multiplexing | Code-Generator Generator Language | code grinder |
code management | code police | code position |
coder/decoder | codes | C-odeScript |
code segment | code walk | codewalker |
CODIL | codomain | coercion |
COFF | COGENT | Cogent Prolog |
Cognitech | cognitive architecture | COGO |
Coherent Parallel C | COHESION | COIF |
CoIP | cokebottle | COLASL |
COLD | cold boot | ColdFusion |
ColdFusion Markup Language | COLD-K | COLINGO |
collision | collision detection | collocation |
co-location | colon | color |
Color Graphics Adapter | color model | Colossus |
colour | colour depth | colour look-up table |
colour model | colour palette | Columbia AppleTalk Package |
column | Column Address Strobe | COM |
com | COMAL | combination |
combinator | combinatory logic | Combined object-oriented Language |
Comdex | COME FROM | COMIS |
COMIT | Comité Européen de Normalisation | Comité Européen des Postes et Telecommunications |
COMIT II | Comma | comma |
command | Command Control Processor | command interpreter |
command key | command line interface | command-line interpreter |
command line option | comma separated values | COMMEN |
comment | commented out | comment out |
commercial at | Commercial Internet eXchange | commercial off-the-shelf software |
commercial software | Commercial Translator | Committed Data Rate |
Committed Information Rate | comm mode | Commodore |
Commodore 1010 | Commodore 128 | Commodore 1541 |
Commodore 1570 | Commodore 1571 | Commodore 1581 |
Commodore 64 | Commodore 64DX | Commodore 65 |
Commodore Business Machines | Commodore SX64 | COMmon Algorithmic Language |
Common Applications Environment | Common Applications Service Element | Common Architecture for Next Generation Internet Protocol |
COmmon Business Oriented Language | common carrier | Common Command Set |
Common Communication Services | Common Data Format | Common Desktop Environment |
Common ESP | Common Gateway Interface | Common Hardware Reference Platform |
Common Information Model | Common Intermediate Format | Common Intermediate Language |
Common Internet File System | Common-ISDN-API | Common ISDN Application Programming Interface |
Common Lisp | Common LISP in Parallel | Common LISP Object System |
CommonLoops | Common Management Information Protocol | Common Management Information Services |
Common Object File Format | Common Object Model | Common Object Request Broker Architecture |
Common Objects | Common Open Software Environment | Common Program Interface |
Common User Access | Commonwealth Hackish | Communicating Functional Processes |
Communicating Sequential Processes | Communication and Network Riser | Communications Decency Act |
Communications of the ACM | communications port | Communications Server |
communications software | communication system | Community of Massive Gaming Agency |
COMNET | compact | Compact COBOL |
Compact Disc | Compact Disc interactive | Compact Disc Read-Only Memory |
Compact Disc Read-Write | Compact Disc Recordable | Compact Disc Rewritable |
Compact Disc writer | compaction | compactness preserving |
Compaq Computer Corporation | Compas Pascal | COMPASS |
compatibility | compatible | Compatible Timesharing System |
Compel | Competitive Access Provider | Compiled HTML |
compiler | COmpiler and GENeralized Translator | compiler compiler |
Compiler-Compiler | compiler-compiler | compiler jock |
Compiler Language for Information Processing | Compiler Target Language | compile time |
COMPL | complement | Complementary Metal Oxide Semiconductor |
complementary nondeterministic polynomial | complete | complete graph |
complete inference system | complete lattice | complete metric space |
completeness | complete partial ordering | complete theory |
complete unification | Complex Instruction Set Computer | complexity |
complexity analysis | complexity class | complexity measure |
complex number | complex programmable logic device | component |
component architecture | component based development | Component Integration Laboratories |
Component Object Model | com port | composite |
composition | Compositional C++ | Compound Document Architecture |
compound key | COMPREHENSIVE | Comprehensive Perl Archive Network |
Comprehensive TeX Archive Network | compress | Compressed SLIP |
compressed video | compression | COMPROSL |
Compu$erve | Compulink Information eXchange | CompuServe |
CompuServe Corporation | CompuServe Information Service | CompuServe Interactive Services |
Compusult Ltd. | computability theory | computable |
COMputable MAthematics | Computational Adequacy Theorem | computational complexity |
Computational Fluid Dynamics | computational geometry | computational learning |
computational molecular biology | COMpute ParallEL | Computer |
computer | Computer Aided Design | Computer Aided Detector Design |
Computer Aided Engineering | Computer-Aided Instruction | Computer-Aided Learning |
Computer Aided Software Engineering | Computer-Aided Software Testing | Computer Aided Test Engineering |
Computer Animation Movie Language | Computer-Assisted Learning | Computer-Assisted Software Engineering |
Computer Associates International, Inc. | Computer-Based Training | computer bus |
Computer Compiler | computer confetti | Computer Conservation Society |
computer cookie | computer crime | Computer Design Language |
computer dictionary | Computer Emergency Response Team | computer ethics |
computer file | computer geek | computer-generated imagery |
Computer Generation Incorporated | Computer Graphics Metafile | Computer Integrated Manufacturing |
computer language | Computer Language for AeronauticS and Programming | computer law |
computer literacy | Computer Management Group of Australia | Computer Mediated Communication |
computer nerd | computer network | Computer Output on Microfilm |
Computer Output to Laser Disc | Computer Output to Laser Disk | Computer Professionals for Social Responsibility |
computer program | computer programming language | Computer + Science NETwork |
computer security | computer sex | Computer Software Configuration Item |
Computer-Supported Collaborative Learning | Computer Supported Cooperative Work | Computer Telephone Integration |
Computer Telephony | Computer Telephony Integration | computer virus |
computer vision | compute server | computing |
Computing Devices Canada Ltd. | computing dictionary | computron |
Compuware Corporation | COMSL | COMTRAN |
ConC | concatenate | concatenated key |
concentrator | conceptualisation | Concert/C |
ConCoord | concrete class | Concrete Data Structure |
concrete syntax | CONCUR | concurrency |
Concurrent C | Concurrent C++ | Concurrent Clean |
Concurrent CLU | Concurrent Constraint Programming | Concurrent Euclid |
Concurrent LISP | Concurrent Massey Hope | Concurrent ML |
Concurrent Oberon | Concurrent Object-Oriented C | Concurrent Object-Oriented Language |
Concurrent Pascal | concurrent processing | Concurrent Prolog |
Concurrent Scheme | ConcurrentSmalltalk | Concurrent SP/k |
Concurrent Versions System | condela | condition out |
condom | Conference On DAta SYstems Languages | Conferencing over IP |
confidence test | CONFIG.SYS | configuraholic |
configuration item | configuration management | configuration programming |
configure | conflation | congestion |
CONIC | conjunction | Conjunctive Normal Form |
connect | connected graph | connected subgraph |
Connection Definition Language | connectionless protocol | Connection Machine LISP |
connection-oriented | connection-oriented network service | connective |
connector conspiracy | CONNIVER | Co-NP |
CONS | cons | cons cell |
conservative evaluation | considered harmful | consistently complete |
console | console jockey | consortium |
Consortium for Lexical Research | constant angular velocity | constant applicative form |
constant folding | Constantine/Yourdon | constant linear velocity |
constant mapping | constraint | constraint functional programming |
Constraint Handling In Prolog | ConstraintLisp | Constraint Logic Programming |
CONSTRAINTS | constraint satisfaction | constructed type |
Constructive Cost Model | constructive proof | constructive solid geometry |
constructor | Consul | consultant |
container class | content addressable memory | content-based information retrieval |
content-based visual information retrieval | Content Data Model | content-free |
contention slot | Contents of Address part of Register | Contents of Decrement part of Register |
context | context clash | COntext Dependent Information Language |
context-free grammar | context-sensitive menu | context switch |
Contextually Communicating Sequential Processes | continental drift | continuation |
Continuation Passing Style | continuation passing style | continuations |
continuous function | Continuous System Modeling Program | Continuous System Simulation Language |
continuous wave | contraction | contract programmer |
control | Control and Status Register | control bus |
control-C | control character | control code |
control flow | control-G | control key |
Control Language | controller | control-O |
Control Program | Control Program for Microcomputers | control-Q |
control-S | control structure | control tty |
control unit | conventional memory | converged network |
Convergent Technologies | Convergent Technologies Operating System | Conversational LISP |
Conversational Monitor System | converse | conversion to iteration |
CONVERT | convert.f90 | Convex Computer Corporation |
convex hull | Conway, John Horton | Conway's Game of Life |
Conway's Law | Conway's Life | cooC |
cooccurrence matrix | cookbook | cooked mode |
cookie | cookie bear | cookie file |
cookie jar | cookie monster | cooky |
COOL | CooL | COOL:Gen |
Cooperation for Open Systems Interconnection Networking in Europe | Co-operative Development Environment | Cooperative Information System |
cooperative multitasking | coordinate | Coordinated Universal Time |
Coordinating Committee for Intercontinental Research Networks | coordination language | copious free time |
copper | Copper Distributed Data Interface | coprocessor |
copy and paste | copybook | copybroke |
copying garbage collection | copyleft | copy member |
copy module | copy protection | copyright |
copyright symbol | copywronged | CORAL |
CORAL 66 | CORBA | CORBIE |
CORC | core | core cancer |
core dump | core gateway | Corel Corporation |
core leak | Corel VENTURA | Core Protocol Stack |
Core War | corge | CORnell Compiler |
Cornell List Processor | Cornell Theory Center | Cornell University |
Coroutine Pascal | Corporation for National Research Initiatives | Corporation for Open Systems |
Corporation for Research and Educational Networking | CORREGATE | Correlatives and Conversions |
Cortex | CORTL | COS |
COSE | COSINE | cosmic rays |
COSS | cost control callback | Cost Driver Attribute |
Cost/Schedule Control System Criteria | COTS | Cougar |
cough and die | count | countable |
countably many | counted | counterbug |
country code | coupling | Course Author Language |
courseware | Coursewriter III | cowboy |
COWSEL | CP | CPAN |
CParaOps5 | CPE | CPGA |
CPI | CPL | CPLD |
CPM | CP/M | cpo |
cpp | cppp | C preprocessor |
C Programmer's Disease | C-Prolog | cproto |
CPS | CPSR | CPU |
CPU Info Center | CPU time | CPU Wars |
CR | cr | cracker |
cracking | crack root | crank |
crapplet | CrApTeX | crash |
crash and burn | crawler | crawling horror |
Cray instability | crayola | crayola books |
crayon | Cray Research, Inc. | CRC |
creationism | creeping elegance | creeping featurism |
creeping featuritis | C-Refine | CREN |
CREW PRAM | crippleware | criptography |
Crisis Software | CRISP | crisp |
Crispy Critters | critical mass | critical section |
CRL | CRLF | CRM |
crock | cron | cross-assembler |
cross-compiler | cross-platform | cross-post |
cross software | CROSSTABS | crosstalk |
CROW PRAM | CRT | CRUD |
crudware | cruft | crufted |
cruft together | crumb | crunch |
cruncha cruncha cruncha | crunchy | cryppie |
crypt | cryptanalysis | Crypt Breakers Workbench |
cryptography | CryptoLocker | cryptology |
Crystal | CS-4 | C-Scheme |
CSCI | C/SCSC | CSCW |
CSG | CSG-tree | csh |
C-sharp | C shell | CSID |
C++SIM | CSK Corporation | CSK Software |
CSL | CSLIP | CSM |
CSMA/CD | CSMP | CSNET |
CSO | C=S;O=A | CSP |
CSP/80 | CS/PCode | CSP/k |
CS-Prolog | CSPS | CSP-S |
CS/QCode | CSR | CSS |
CSSA | CSS/II | CSSL |
CSTools | CSU | CSU/DSU |
CSV | CT | CTC |
CTC++ | CTCP | CTI |
CTL | CTOS | ctrl |
CTS | CTSS | CTY |
CU | cu | CUA |
Cube | cube | cubing |
CUCH | Cuckoo's Egg | CUL |
Culler-Fried System | CUPID | CUPL |
curly bracket | current | curried function |
currying | curseperl | curses |
cursor | cursor dipped in X | CU-SeeMe |
CUSI | cuspy | custom |
Customer Information Control System | Customer Information Systems | Customer Interaction Software |
Customer Relationship Management | CUT | cut and paste |
cut-and-waste code | cut a tape | cutover |
cut-through switching | cv | c/version.js |
CVS | CW | CWeb |
CWI | CWIC | CWIS |
C with Classes | cx | cxref |
cy | Cy486SLC | cyber |
cyberbunny | cyberchondriac | cybercrime |
cybercrime as a service | cybercrud | CyberGlove |
cybernetics | cyberpunk | cyberrhea |
cybersex | cyberspace | cyberspastic |
cyber-squatting | CyberWand | CyberZine |
CYBIL | Cyc | CYCL |
cycle | cyclebabble | cycle crunch |
cycle drought | cycle of reincarnation | cycle server |
cyclic redundancy check | cyclic redundancy code | Cyclo |
cyclomatic complexity | Cygnus Tcl Tools | cylinder |
CypherText | Cyrix | Cyrix 6x86 |
cz | D | D-1000 |
DAA | DAC | DACAPO |
DACNOS | D/A converter | DACTL |
DADS | daemon | DAG |
Daisy | DAISY 201 | daisy chain |
daisywheel printer | dancing frog | dangling pointer |
DANTE | DAP Fortran | DAPLEX |
DARE | dark-side hacker | Darms |
DARPA | Dartmouth BASIC | Darwin |
DAS | DASD | DASE |
DASL | DAT | data |
data abstraction | data acquisition | Data Address Generator |
database | database administrator | database analyst |
database machine | database management system | database manager |
database normalisation | database query language | database server |
database transaction | Data/BASIC | DATABUS |
data bus | datacenter manager | data channel |
DATACODE I | Datacom | Data Communication Equipment |
data communications analyst | Data Communications Equipment | data compression |
Data definition language | data dictionary | data dictionary file |
data driven | Data Driven Machine | Data Encryption Algorithm |
Data Encryption Key | Data Encryption Standard | data feed |
data flow | data flow analysis | Data Flow Diagram |
data fork | data frame | Data General |
Data General mN601 | data glove | datagram |
data hierarchy | data integrity | Data Interchange Standards Association |
Data Jack | Datakit | Dataless Management Services |
dataless management utility | Data Link Connection Identifier | data link layer |
data link level | Data Link Provider Interface | Data Link Switching |
data logger | data logging | Data Management Language |
Data Manipulation Language | data mart | Datamatic Corporation |
Datamation | data mining | data model |
data modeling | data modelling | Data Over Cable Service Interface Specification |
Data Over Cable Systems Interface Specifications | data packet | Dataparallel-C |
Data Parallel Haskell | data path | Dataphone Digital Service |
DataPoint | data processing | Data Protection Act |
data rate | data redundancy | data segment |
data service unit | data set | data set organization |
DataStage | data storage | Datastorm Technologies, Inc. |
data striping | data structure | Data Structure Diagram |
Data Structures Language | Data Terminal Equipment | Data Terminal Ready |
DATA-TEXT | data transfer | data transfer rate |
DATATRIEVE | Datatron 200 series | data type |
DataViews | DataVis | data warehouse |
data warehousing | date | DAU |
daughter | daughterboard | daughtercard |
David Turner | day mode | DAZIX |
DB | DB2 | DB-25 |
DB2 catalog | DBA | dBASE |
DBC | DBCS | dBFAST |
DBH | DBMS | DBPL |
DBRI | dbx | dBXL |
DC | DC1 | DC2 |
DC3 | DC4 | DCA |
DCAC | DCALGOL | DCC |
DCDL | DCE | DCG |
DCI | DCL | DCOM |
DCP | D. C. Power Lab | DCS |
DCT | DD | dd |
DDB | DDCMP | DDE |
DDE Manager | DDIF | DDL |
DDM | DDN | DDO |
DDoS | DDP | DDR |
DDR-RAM | DDR-SDRAM | DDS |
DDT | DDW | de |
DE-9 | DEA | DEACON |
dead | DEADBEEF | dead code |
dead code elimination | dead-drop | deadlock |
deadly embrace | dead tree | dead tree edition |
deamon | death code | Death Star |
deb | Debbugs | Debian |
Debian GNU/Hurd | Debian GNU/Linux | debianize |
deboursification | De Bruijn graph | De Bruijn notation |
DEBUG | debugger | debugging |
debugging an empty file | debugging by printf | debugging tool |
DEC | dec | DEC Alpha |
decay | DECdesign | DECdns |
DEChead | dechunker | decidability |
decidable | decimal point | decision problem |
decision support | decision support database | Decision Support Systems |
decision theory | deckle | declarative language |
DECmate I | DECnet | decode |
Decomposed Petri Net | decompress | decorated name |
decrement operator | decryption | DECstation |
DECT | DECtape | DECUS |
Decus cpp | DEC Wars | DECwindows |
DECwrite | DED | dedicated line |
deductive database | deductive tableau | Deep Blue |
deep hack mode | deep magic | deep space |
de facto standard | default | default.htm |
default route | defect | defect analysis |
defect density | Defense Advanced Research Project Agency | Defense Advanced Research Projects Agency |
Defense Calculator | Defense Communications Agency | Defense Data Network |
Defense Data Network Network Information Center | Defense Information Systems Agency | Defense Trade Regulations |
deferral | definite clause | definite sentence |
definitional constraint programming | deflate | deflate compression |
deflate/inflate compression | deforestation | defrag |
defragment | defunct process | degree |
degrees of freedom | dehose | DEK |
Delaunay triangulation | delayed control-transfer | delay instruction |
delay slot | delete | delimiter |
delint | Delirium | Delivered Source Instruction |
Dell Computer Corporation | Delphi | Delphi Technique |
Delta | delta | Delta-4 |
delta conversion | Delta-Prolog | delta reduction |
DELTASE | demand driven | demand paged |
demand paging | DeMarco | DeMarco/Yourdon |
demented | Demeter | demigod |
De-Militarised Zone | demo | demodulate |
demodulation | demo mode | demon |
Demon Internet Ltd. | DeMorgan's theorem | demo version |
Denis Howe | Dennis Ritchie | denotational semantics |
Denotational Semantics Language | Department of Defense | Department of Defense Network |
depeditate | dependability | dependable software |
Deployment Descriptor | deprecated | depth-first search |
deque | dequeue | DER |
dereference | de-rezz | derived class |
derived type | DES | descender |
descent function | Descriptive Intermediate Attributed Notation for Ada | Descriptive Top-Level Specification |
descriptor | design | Design In Real Time |
design pattern | design recovery | Design System language |
desk check | desktop | desktop database |
Desktop Management Interface | Desktop Management Task Force | desktop manager |
desktop publisher | desktop publishing | DESQview |
destructor | DESY | DETAB |
deterministic | deterministic automaton | DETOL |
developer | development | Developmental Test and Evaluation |
development environment | device | Device Control |
Device Control 1 | Device Control 2 | Device Control 3 |
Device Control 4 | device driver | device independent bitmap |
Device Manager | Devil Book | devo |
DEX | DFA | DFC |
DFD | D-Flat | DFS |
DFT | DGL | DG/L |
DHCP | Dhrystone | DHSD |
DHTML | Dialled Number Identification Service | DIALOG |
dialup | DIAMAG | diameter |
Diamond | DIANA | DIB |
DIBOL | dickless workstation | Dick Size War |
DICOM | dictionary | Dictionary APL |
dictionary flame | Dictionary of Algorithms and Data Structures | Dictionary of Computing |
DID | diddle | Didot point |
die | die horribly | diff |
Difference Engine | difference equation | differential backup |
differential driver | differential line | Diffie-Hellman |
digerati | digest | Digex |
DigiCash | Digicom | digirati |
digit | Digital | digital |
digital audio | Digital Audio Tape | digital camera |
digital carrier | digital certificate | digital computer |
Digital Control System | digital dashboard | Digital Data Service |
digital electronics | Digital Enhanced Cordless Telecommunications | digital envelope |
Digital Equipment Computer Users Society | Digital Equipment Corporation | Digital Equipment Corporation Network |
Digital European Cordless Telecommunications | Digital Express Group, Inc. | Digital Lempel Ziv 1 |
Digital Library Initiative | Digital Linear Tape | digital logic |
Digital Multimeter | Digital Radio Mondiale | Digital Research |
Digital Rights Management | digital service unit | Digital Signal Processing |
Digital Signal Processing Language | digital signature | digital signatures |
Digital Signature Standard | Digital Simulation Language | Digital Simultaneous Voice and Data |
DIGITAL Standard MUMPS | Digital Subscriber Line | Digital Subscriber Line Access Module |
Digital Subscriber Loop | Digital Switched Network | Digital to Analog Converter |
Digital Versatile Disc | Digital Versatile Disk Random Access Memory | Digital Video Disc |
Dijkstra's guarded command language | dike | DIL |
Dilbert | Dilberted | DIM |
DIMATE | DIMM | DIM statement |
DIN | DIN-8 | ding |
Dining Philosophers Problem | dink | DinnerBell |
DINO | dinosaur | dinosaur pen |
dinosaurs mating | diode | Diophantine equation |
DIP | diplex | DIPP |
Direct-Access Storage Device | Direct Client to Client Protocol | Direct Connection |
directed acyclic graph | directed graph | Directed Oc |
directed set | Direct Inward Dialing | directional coupler |
Directly Executable Test Oriented Language | direct mapped cache | Direct Memory Access |
directories | directory | Directory Access Protocol |
directory service | Directory System Agent | Directory User Agent |
DirectX | DIRFT | Dirt |
dirtball | dirty power | dis |
DISA | disaster planning | disaster recovery |
disc | disc drive | disclaimer |
disconnect | Discordianism | discrete |
discrete cosine transform | discrete Fourier transform | discrete preorder |
discriminated union | discussion group | Disiple |
disjoint union | Disjunctive Normal Form | disk |
disk controller | disk drive | disk duplexing |
diskette | disk farm | diskless workstation |
disk mirroring | Disk Operating System | disk operating system |
disks | disk striping | Dislang |
disman | display | display hack |
Display PostScript | Display Screen Equipment | display standard |
display standards | display terminal | Dissociated Press |
distfix | Distinguished Encoding Rules | Distributed Component Object Model |
Distributed Computing Environment | distributed database | Distributed Data Management |
distributed data warehouse | Distributed Denial of Service | Distributed Eiffel |
Distributed Logic Programming | Distributed Management Environment | distributed memory |
Distributed Network Operating System | Distributed Operating Multi Access Interactive Network | Distributed Processes |
Distributed Queue Dual Bus | Distributed Smalltalk | distributed system |
distributed systems | distribution | distributive lattice |
disusered | dithering | Ditto Drive |
diverge | divisor | dj |
DJGPP | DjVu | DK |
dk | DL/1 | DLC |
DLCI | DLE | DLG |
DL/I | DLL | DLM |
DLP | DLPI | DLSw |
DLT | DLUR/DLUS | DLZ1 |
dm | DMA | DMAD |
dmake | DMALGOL | DME |
DMI | DML | DMM |
DMS | DMTF | DMU |
DMZ | DNA computing | DNF |
DNIS | DNIX | DNOS |
DNS | do | DOA |
Doc | doc | docking station |
DOCMaker | doco | DOCSIS |
doctype decoration | document | documentation |
Document Examiner | Document Image Processing | Document Object Model |
Document Style Semantics and Specification Language | Document Type Definition | DOCUS |
DoD | DoD-1 | dodgy |
DOD-STD-2167A | DoD-STD-2168 | DOE |
DOF | dog | dogcow |
dogfood | dogfooding | dogpile |
dogwash | Do It Right the First Time | Dojo |
DOL | dollar | do loop |
DOM | domain | domain address |
Domain Analysis | domain architecture | Domain Architecture Model |
domain calculus | domain driven design | domain engineering |
domain handle | domainist | domain maturity |
domain model | domain name | Domain Name Server |
Domain Name System | domain selection | Domain Software Engineering Environment |
domain-specific language | domain squatter | domain theory |
Domestic Communications Assistance Center | DOMF | Donald Knuth |
dongle | dongle cracker | dongle-disk |
Don't do that then! | donuts | Dooced |
DOOM | DOORS | doorstop |
Dorito Syndrome | DORUM | DOS |
DOS/360 | DOS Protected Mode Interface | DOS requester |
dot | dot address | dot com |
dotenv | dot file | dot leaders |
dot matrix printer | dot notation | dot pitch |
dotted pair | dotted quad | double bucky |
double-click | Double Data Rate Random Access Memory | Double Data Rate Synchronous Random Access Memory |
double DECkers | double density | doubled sig |
double-duplex | double-ended queue | double quote |
doubly linked list | DOUGLAS | Douglas Engelbart |
Doug Lenat | DOW COMPILER | Do What the Fuck You Want to Public License |
do while | down | download |
downloading | downsizing | downstream |
down-time | downward closed | Downy cocktail |
DP | DPA | DPB |
DPer | dpi | DPL |
DPL-82 | DPLL | DPMI |
DPMS | DPN | DPP |
d-Prolog | DPS | DPS-6 |
dpSather | DPSK | DQDB |
draco | Draft Once ReUse Many | drag |
drag and drop | dragging | drag-n-drop |
DRAGON | dragon | Dragon Book |
DRAGOON | drain | DRAM |
DRAM refresh | Drawing eXchange Format | dread high bit disease |
DREAM 6800 | DRECNET | drill down |
drill-down analysis | drive | drive-by download |
drivers | Dr. James H. Clark | DRM |
droid | DROOL | drool-proof paper |
drop cable | drop-down list | drop-down menu |
drop-ins | drop on the floor | drop-outs |
DrScheme | DRUCO I | drugged |
drug report | drum | drunk mouse syndrome |
dry run | DS0 | DS1 |
DS1C | DS2 | DS3 |
DSA | DSDM | DSE |
DSEE | D-shell connector | DSI |
DSL | DSLAM | DS level |
DSM | DSN | DSO |
DSORG | DSP | DSP32 Assembly Language |
DSP56000 | DSP56001 | dsp56165-gcc |
dsp56k-gcc | DSP/C | DSPL |
DSR | DSS | DSSSL |
DST | DSU | DSVD |
DSW | DTALGOL | DTD |
DTE | DT&E | DTLS |
DTMF | DTP | DTR |
DTS | DTSS | D-type |
D-type flip-flop | du | DUA |
dual | DUAL-607 | dual-attached |
dual boot | dual-homed | Dual In-Line |
Dual In-line Memory Module | Dual In-Line Package | dual ported |
dual-stack | Dual Tone Multi Frequency | duck typing |
DUEL | duff | Duff's device |
dumbed down | dumb terminal | dump |
dumpster diving | Dungeon | dup killer |
duplex | Duplex High Speed Data | dup loop |
Durra | dusty deck | DV cartridge |
DVD | DVD-R | DVD-RAM |
DVD-ROM | DVI | Dvorak |
Dwarf Storage Unit | DWDM | dweeb |
dwg | DWIM | DX4 |
DXF | dyadic | Dyadic Systems Limited |
Dyalog APL | Dyalog Limited | DYANA |
Dylan | Dylperl | dynamic adaptive routing |
Dynamic Address Translation | Dynamically Linked Library | dynamically scoped |
dynamically typed | dynamic analysis | dynamic binding |
dynamic database management system | Dynamic Data Exchange | Dynamic Data Linking |
dynamic DBMS | Dynamic Drive Overlay | Dynamic Execution |
Dynamic Host Configuration Protocol | Dynamic HTML | DYnamic LANguage |
dynamic link | dynamic link library | Dynamic Object-Oriented Requirements System |
dynamic RAM | dynamic random-access memory | dynamic routing |
DYnamics ANAlyzer | dynamic scope | dynamic scoping |
Dynamic Systems Development Method | dynamic translation | dynamic typing |
DYNAMO | Dynix | Dynix Automated Library Systems |
dynner | DYSAC | DYSTAL |
dz | E | E1 |
E2 | E2ES | E3 |
E4 | E5 | E-acute |
EAF | EAG | eager evaluation |
Eagle | EAI | EAPROM |
earliest deadline first | Early PL/I | EARN |
EAROM | earthquake | Ease |
EASE II | EASIAC | EAST |
easter egg | Easter egging | Eastern Washington University |
EASY FOX | eat flaming death | eating one's own dogfood |
eating your own dogfood | EAX | EBASIC |
EBCDIC | EBCIDIC | EBNF |
Ebone | ec | EC++ |
ECAP II | E-carrier system | Ecash |
ECC | Eccles-Jordan circuit | Echidna |
echo | echo cancellation | ECHT |
ECIP2 | ECIS | Eckert-Mauchly Computer Corporation |
ECL | ECLIPSE | ECM |
ECMA | ECMA International | ECMAScript |
Ecole Normale Superieure | e-commerce | Econet |
ECOOP | ECP | ECRC |
ECRC-Prolog | ECSL | ECSP |
ECSS II | ECSSL | ed |
EDA | EDAC | e-ddress |
Eden | Eden Programming Language | EDF |
EDI | EDI analyst | EDIF |
EDIFACT | Edinburgh Multi Access System | Edinburgh Prolog |
Edinburgh SML | Edison | edit |
editor | EDL | EDM |
EdML | EDMS | Ed Nather |
EDO DRAM | EDO memory | EDO RAM |
EDP | EDP auditor | EDRAM |
EDS | EDS+ | EDSAC |
edu | education contact | edutainment |
Edward Lorenz | Edward Yourdon | Edwin |
ee | EEMA | EEPROM |
EER | E. F. Codd | EFF |
effective computable | effective number of bits | Effort Adjustment Factor |
EFI | EFL | EFNet |
Eforth | E-Forth | EFT |
EFTPOS | EFTS | eg |
EGA | Eggdrop | egosurfing |
EGP | egrep | Egyptian brackets |
Eh | eh | eHelp Corporation |
EHTS | EIA | EIA-232 |
EIA-232C | EIA-422 | EIA-423 |
EIA-449 | EIA-485 | EIA-530 |
EIDE | Eiffel | Eiffel source checker |
eigenvalue | eigenvector | eight-bit clean |
eight queens problem | eight queens puzzle | eighty-column mind |
eighty-twenty rule | EIRP | EISA |
EJB | Eksi Sozluk | EL1 |
el(alpha) | Elan | El Camino Bignum |
elder days | e-learning | Electing a Pope |
Electrically Alterable Programmable Read-Only Memory | Electrically Erasable Programmable Read-Only Memory | Electromagnetic Compatibility |
electromigration | electron | electronic commerce |
Electronic Commerce Dictionary | electronic data interchange | Electronic Data Processing |
Electronic Design Automation | Electronic Discrete Sequential Automatic Computer | Electronic Frontier Foundation |
electronic funds transfer | Electronic Funds Transfer Point of Sale | electronic funds transfer system |
electronic magazine | electronic mail | electronic mail address |
electronic mail client | electronic meeting | Electronic Numerical Integrator and Computer |
Electronic Performance Support System | Electronic Piece Of Cheese | Electronic Report Management |
Electronics Industry Association | electronic whiteboarding | electron model |
electron tube | Electrostatic Discharge | elegant |
element | elephant | elephantine |
elevator controller | ELF | ELI |
Eli Compiler Construction System | ELISP | elite |
ELIZA | ELIZA effect | Elk |
ELLA | Ellemtel | Ellie |
ELLIS | elm | ELMAGUIDE |
ELMAMETA | ELP | ELSIE |
Elvis | elvish | EM |
EM-1 | EMA | Emacs |
Emacs Lisp | e-mail | e-mail address |
e-mail client | EMAS | Embedded Lisp Interpreter |
Embedded Mode | embedded system | embedding |
EMBLA Pro | embosser | EMC |
EMD Enterprises, Inc. | EMDIR | Emerald |
EMI | Emitter Coupled Logic | EML |
EMM | EMM386 | emote |
emoticon | empeg | empire |
empty element tag | Empty Nest | EMS |
emTeX | EMU8000 | emulation |
emulator | Emulator program | EMX |
enabling | Encapsulated PostScript | encapsulation |
encode | encoder | encryption |
endian | endless loop | End Of Line |
End of Medium | End Of Text | End Of Transmission |
end tag | end-to-end solution | End Transmission Block |
end-user | engage | Engelbart, Douglas |
engine | English | English shellcode |
enhanced | Enhanced Capabilities Port | Enhanced Directory Service |
Enhanced Dynamic Random Access Memory | Enhanced Graphics Adapter | Enhanced IDE |
Enhanced Integrated Drive Electronics | enhanced parallel port | Enhanced Small Disk Interface |
enhancement | ENIAC | Enigma |
ENOB | ENQ | enqueue |
ENS | Ente Nazionale Italiano di Unificazione | enterprise |
Enterprise Application Integration | Enterprise JavaBeans | Enterprise Report Management |
Enterprise Resource Planning | Enterprise Systems CONnectivity | EntireX |
entity | entity-relationship diagram | entity-relationship model |
entropy | Entry Sequenced Data Set | enumerated type |
enumeration | environment | Environmental Audio eXtensions |
environment variable | Envoy | EOF |
EOL | EOR | EOT |
EOU | EOUG | EP |
EPCS | ephemeral port | EPILOG |
EPIM | epistasis | EPL |
EPOC | epoch | EPP |
EPROM | EPROM OTP | EPROS |
EPS | EPSILON | epsilon |
epsilon squared | EPSIMONE | EPSS |
EqL | EQLOG | EQLog |
Eqn | equals | equational logic |
Equational Programming Language | Equel | equivalence class |
equivalence class partitioning | equivalence partitioning | equivalence relation |
equivalent isotropically radiated power | ER | er |
ERA | era | Erasable Programmable Read-Only Memory |
erase | eraser stains code | ERC |
ERCIM | ERD | EREW PRAM |
ERFPI | ergonomic | ergonomics |
ERGO-Shell | Eric Conspiracy | Eric S. Raymond |
Eris | Erlang | ERM |
erotica | ERP | error |
error-based testing | error correcting memory | error detection and correction |
es | ES-1 | ESA |
ESC | ESCAPE | escape |
escape sequence | ESCD | ESCON |
escrow | ESD | ESDI |
ESF | ESI | esim |
ESL | ESLPDPRO | ESML |
ESMTP | esolang | esoteric programming language |
ESP | ESPOL | ESPRIT |
ESR | essential complexity | Estelle |
Esterel | EstPC | ET |
et | ET++ | eta abstraction |
eta conversion | eta expansion | eta reduction |
ETB | ETC | e-text |
ETHER | EtherGate | Ethernet |
Ethernet address | Ethernet meltdown | Ethernet Private Line |
EtherTalk | ethics | ETL |
ETM | ETRN | ETSI |
ETX | Euclid | Euclidean Algorithm |
Euclidean norm | Euclid's Algorithm | Eudora |
EULA | EULER | EuLisp |
EUnet Ltd. | Euphoria | Eureka |
Eureka step | Eurisko | Eurocard |
Euro-ISDN | EuroNet | EuropaNET |
European Academic and Research Network | European Committee for Standardization | European Computer-Industry Research Centre GmbH |
European Computer Manufacturers Association | European Strategic Programme for Research in Information Technology | European Telecommunications Standards Institute |
EUUG | EV6 | Eva |
EVALUATE | evaluation | evaluation strategy |
evaluator | EVE | event |
Event Description Language | event-driven | EVGA |
evolutionary algorithm | evolutionary computation | evolutionary programming |
evolution strategy | EWOS | exa- |
Exabyte | exabyte | examining the entrails |
EXAPT | Excalibur bug | Exceed |
Excel | Excelan | Excelerator |
exception | exception handler | EXCH |
Exchange Server | excl | exclamation mark |
exclamation point | exclusive or | EXCP |
EXE | EXEC | exec |
EXEC 2 | EXEC 8 | executable |
executable content | execute | Execute Channel Program |
execution | executive | Executive Systems Programming Oriented Language |
exercise, left as an | exhaustive testing | existence proof |
existential quantifier | exit | EXODUS |
eXodus | EXOS | expanded memory |
expanded memory manager | expanded memory page frame | Expanded Memory Specification |
expansion card | expansion slot | expect |
eXperimental LISP | Experimental Physics Control Systems | Experimental Programming Language |
Experiment Description Language | Expert Judgement Models | expert system |
Expert Systems Ltd. | explicit parallelism | explicit type conversion |
exploit | Exploratory Data Analysis | exponent |
exponential | exponential-time | exponential-time algorithm |
Express | expression | expression tree |
extend | Extended Affix Grammar | Extended ALGOL |
Extended Architecture | Extended Backus-Naur Form | Extended Binary Coded Decimal Interchange Code |
Extended BNF | Extended C++ | Extended Capabilities Port |
Extended Concurrent Prolog | Extended Data Out Dynamic Random Access Memory | Extended Data Out Random Access Memory |
Extended Fortran Language | eXtended Graphics Array | Extended Industry-Standard Architecture |
extended memory | extended memory manager | Extended Memory Specification |
Extended ML | Extended Pascal | Extended Self-containing Prolog |
Extended System Configuration Data | Extended Systems Modelling Language | Extended Tcl |
Extended Tiny | Extended Video Graphics Array | eXtended Video Graphics Array |
ExTendible Compiler | extensible | extensible database |
Extensible Firmware Interface | Extensible HyperText Markup Language | Extensible Markup Language |
Extensible Shell | Extensible Stylesheet Language | Extensible Stylesheet Language Transformations |
Extensible VAX Editor | extension | extensional |
extensional equality | extensionality | Extension Language Kit |
Exterior Gateway Protocol | eXternal Data Representation | External Machine Interface |
external memory | EXTRA | extranet |
extrapolate | extrapolation | EXUG |
eyeball search | EZ | ezd |
e-zine | F100-L | f2c |
F2F | F68K | FAC |
facebook.com | face time | face-to-face |
Facile | facsimile | FACT |
fact | factor | factorial |
FAD | failback | failover |
failure | failure-directed testing | FAIR |
Fairchild F8 | fall back | fall forward |
fall over | fall through | fall thru |
FALSE | FancyIndexing | fandango on core |
fan-out | FAP | FAQ |
FAQL | FAQ list | faradise |
farkled | farm | farming |
FARNET | fas | FASBOL |
fascist | FASE | FAST |
Fast ATA | Fast ATA-2 | Faster LEX |
Fast Ethernet | Fast Fourier Transform | Fast Packet |
Fast Page Mode Dynamic Random Access Memory | Fast SCSI | FAT |
FAT32 | fatal | fatal error |
fatal exception | fat binary | fat client |
fat electrons | fault | fault-based testing |
fault tolerance | fault tolerant | Fault Tolerant Unix |
fault tree analysis | fax | Fax over IP |
FC | FC-AL | FCB |
F-code | FCP | FC-PGA |
FCS | FDC | FDD |
FDDI | FDISK | fd leak |
fdlibm | FDMA | FDSE |
FDSP | FDT | fdx |
FEA | fear and loathing | fear-driven development |
feasibility study | feasible | feature |
feature creature | feature creep | featurectomy |
feature key | feature shock | FEC |
FED | Federal Geographic Data Committee | Federal Information Exchange |
Federal Information Processing Standards | Federal Networking Council | federation |
Federation Against Software Theft Limited | Fedora | feed |
feedback | feedback control | feed-forward |
Feel | feep | feeper |
feeping creature | feeping creaturism | FEL |
femto- | fence | fencepost error |
fepped out | FEPROM | Fermat prime |
Fermat's Last Post | Ferranti F100-L | ferrite core memory |
Ferroelectric RAM | Ferroelectric Random Access Memory | Fetch |
fetch-execute cycle | Feynman, Richard P. | FF |
ffccc | FFP | FFT |
FGDC | FGHC | FGL |
FGL+LV | FGRAAL | fgrep |
FhG | FHS | FHSS |
fi | Fiber Distributed Data Interface | Fiber Optic InterRepeater Link |
fiber optics | Fibonacci sequence | Fibre Channel |
Fibre Channel-Arbitrated Loop | fibre optics | FIDIL |
FIDO | FidoNet | Fidonews |
field | field circus | field effect transistor |
field emission display | field mouse | field-programmable gate array |
field servoid | FIFO | Fifth |
Fifth Dimension Technologies | fifth generation language | fifth normal form |
Fight-o-net | file | File Allocation Table |
File Attach | File Composition | file compression |
file control block | file descriptor | file descriptor leak |
file extension | FileMaker | FileMaker, Inc. |
filename extension | FileNet | File Request |
File Separator | file server | File Service Protocol |
file signature | file system | Filesystem Hierarchy Standard |
file transfer | File Transfer Protocol | file type |
filing system | filk | fill-out form |
film at 11 | FILO | Filtabyte |
filter | filter promotion | FIMS |
Finagle's Law | Financial Information eXchange | Finder |
fine adjuster | fine grain | finger |
finger-pointing syndrome | finite | Finite Automata |
Finite Automaton | finite differencing | Finite Impulse Response |
Finite State Automata | Finite State Automaton | Finite State Machine |
finn | FIPS | FIR |
firebottle | firefighting | Firefox |
firehose syndrome | firewall | firewall code |
firewall machine | FireWire | fireworks mode |
Firmware | firmy | first class module |
first fit | first generation | first generation computer |
first generation language | first-in first-out | first normal form |
first-order | first-order logic | First Party DMA |
fish | FISH queue | FITNR |
FITS | FIX | fix |
fixed disk | fixed point | fixed-point |
fixed point combinator | fixed-radio access | fixed-width |
FIXME | fixpoint | fj |
Fjolnir | fk | FL |
F+L | flag | flag day |
FLAIR | flaky | flamage |
flame | flame bait | flame off |
flame on | flamer | flame war |
flaming | FLAP | flap |
flapping router | flarp | Flash |
flash | flash drive | Flash EPROM |
Flash Erasable Programmable Read-Only Memory | Flash Lights Impressively | flash memory |
Flash ROM | flat | flat address space |
flat ASCII | flat file | flat file database |
flatten | flat thunk | Flavors |
Fleng | FLEX | Flex |
Flex++ | Flex 2 | FLI |
flib | FLIC | FLIP |
Flip Chip Pin Grid Array | flip-flop | flippy |
FLIP-SPUR | float | floater |
floating-point | floating-point accelerator | Floating-Point SPECbaserate |
Floating-Point SPECbaseratio | Floating-Point SPECrate | Floating-Point SPECratio |
floating point underflow | Floating-Point Unit | floating underflow |
F-Logic | flood | FLOP |
Floppy | floppy | floppy disc |
floppy disk | floppy disk drive | floppy drive |
FLOPS | Flops | floptical |
Flow | flow chart | flow control |
flower key | FLOW-MATIC or FLOWMATIC | flow of control |
FLPL | FLUB | Fluegelman, Andrew |
flush | Flynn's taxonomy | fly page |
Flyspeck 3 | flytrap | FM |
fm | FMPL | FMQ |
FMS | FMV | FNAL |
FNC | fnord | FN tunnelling |
fo | FOAD | FOAF |
FOCAL | FOCL | FOCUS |
focus group | FOD | FOIL |
FoIP | FOIRL | fold case |
folder | fold function | FOLDOC |
followup | font | fontology |
foo | foobar | foogol |
FOOL | fool file | Fools' Lisp |
Foonly | FOOP | foot-net |
footprint | for | fora |
FORC | Force | ForceOne |
ForceTwo | foreground | Foreign eXchange Office |
Foreign eXchange Subscriber | foreign key | Foresight |
for free | fork | fork bomb |
forked | for loop | FORM |
FORMAC | FORMAL | formal argument |
Formal Description Technique | formal methods | Formal Object Role Modeling Language |
formal review | format | FORMAT-Fortran |
Formatting Output Specification Instance | Formes | form factor |
form feed | form function | FORML |
forms | formula | Formula ALGOL |
Forsythe | FORTH | for The Rest Of Them |
for The Rest Of Us | Forth Modification Lab | Fortran |
Fortran 66 | Fortran 77 | Fortran 90 |
Fortran Automatic Symbol Translator | Fortran D | Fortran I |
Fortran II | Fortran III | Fortran IV |
Fortran-Linda | Fortran M | Fortran Matrix Abstraction Technique Fortran |
Fortran-Plus | FORTRANSIT | Fortran V |
Fortran VI | Fortrash | FORTRUNCIBLE |
fortune cookie | forum | for values of |
forward | forward analysis | forward chaining |
forward compatibility | forward compatible | forward delta |
forward engineering | Forward Error Correction | forwards compatibility |
forwards compatible | FORWISS | For Your Information |
FOSI | FOSIL | FOSS |
fossil | foundation | FOundation for Research and Technology - Hellas |
four-colour glossies | four colour map theorem | four colour theorem |
Fourier transform | fourth generation computer | fourth generation language |
fourth normal form | Fowler-Nordheim tunnelling | Fox |
FoxBASE+ | FoxPRO | Fox Software |
Fox Wiki | FP | FP2 |
FPA | fpc | FPGA |
FPLMTS | FPM | FP/M |
FPM DRAM | fprintf | fps |
FPU | FQDN | FQL |
fr | FRA | fractal |
fractal compression | fractal dimension | FRAD |
fragile | fragment | fragmentation |
FRAM | frame | frame buffer |
Frame Check Sequence | frame grabber | FrameKit |
FrameMaker | frame pointer | frame rate |
Frame Relay | Frame Relay Access Device | frames per second |
Frame Technology Corporation | framework | Framework 4 |
framing specification | FRANK | Franz Lisp |
Fraps | Fraunhofer Gesellschaft | FRED |
fred | Fredette's Operating System Interface Language | frednet |
free | FreeBSD | FreeHEP |
Freenet | Free Objects for Crystallography | Free On-line Dictionary |
free open-source software | FreePPP | freerexx |
free software | Free Software Foundation | free variable |
freeware | freeze | Frege, Gottlob |
frequency division multiple access | frequency division multiplexing | Frequency-Hopping Spread Spectrum |
Frequency Modulation | Frequency Shift Keying | frequently asked question |
Fresco | Fresh | friction feed |
fried | Friend | FRINGE |
frink | friode | fritterware |
FRL | FRMT-FTRN | frob |
frobnicate | frobnitz | Frobozz Magic Programming Language |
frogging | Frolic | front end |
front-end processor | front side bus | frotzed |
frowney | fry | FS |
FSB | fsck | FSF |
FSK | FSL | FSM |
FSP | fsplit | FT |
FTAM | FTP | FTP archive |
FTP by mail | FTP server | FTP Software, Inc. |
FTTP | FTW | FTX |
FUBAR | FUD | fudge |
fudge factor | Fudgets | FUDGIT |
FUD wars | Fuel-can | Fugue |
Fujitsu | full-custom | full-duplex |
full-duplex Switched Ethernet | full laziness | full-motion video |
full outer join | fully associative cache | Fully Automated Compiling Technique |
fully lazy lambda lifting | fully qualified domain name | fum |
Fun | function | functional |
functional database | functional dependency | functionality |
functional language | functional program | functional programming |
functional programming language | functional requirements | functional specification |
functional testing | functional unit | function application |
function complete | Function Graph Language | function inlining |
function key | Function Point Analysis | functor |
funky | FUNLOG | FunnelWeb |
funny money | furigana | furrfu |
FUSE | FUSION | fusion |
FutureBasic | future date testing | futz |
fuzzball | fuzzy computing | fuzzy logic |
fuzzy subset | fweep | FWIW |
fx | FX-87 | FX-90 |
FXO | FXS | FYA |
FYI | FYI4 | G |
G2 | G3 | G4 |
GA | ga | Gabriel |
gabriel | Gabriel, Richard | GADS |
Gaelic | gag | GAIA |
GAL | Galaxy | Galileo |
Gambit | Game Boy | games |
game tree | GAMMA | gamma correction |
GAMS | gamut | GAN |
GANDALF | gang bang | GAP |
GAPLog | garbageabetical order | garbage collect |
garbage collection | Garbage In, Garbage Out | Gargoyle |
Garnet | GARP | garply |
Gartner Group | gas | GASP |
gas plasma display | GAT | GATE |
gate | gated | Gates |
gateway | Gateway 2000 | Gauss |
Gaussian distribution | gawk | GB |
Gb | gb | g-bell |
GBIP | GBML | gbps |
GC | GCAL | GCC |
GCL | G-Code | GCOS |
GCOS Macro Assembler Program | GCR | GCT |
gd | GDA | GDB |
GDBPSK | Gödel, Kurt | GDI |
GDMO | GDPL | GE |
ge | GE-645 | GEA |
GEANT | GECOM | GECOS |
Gedanken | gedanken | geef |
geek | geek out | GEI |
GE Information Services | GEM | gen |
gender mender | Gene Amdahl | General Activities Simulation Program |
General Aerodynamic Simulation Program | General Dynamics Canada Ltd | General Electric |
General Electric Comprehensive Operating System | General Magic | General Packet Radio Service |
General Protection Failure | General Protection Fault | General Public Licence |
General Public License | General Public Virus | General Purpose Graphic Language |
General Purpose Interface Bus | General Purpose Language | General Purpose Macro-generator |
General Recursion Theorem | generate | generation |
Generic Array Logic | Generic Expert System Tool | generic identifier |
genericity | generic markup | generic programming |
Generic Routing Encapsulation | Generic Security Service Application Programming Interface | generic thunk |
generic type variable | Genesia | genetic algorithm |
genetic algorithms | genetic programming | GEnie Services |
Genken Programming Language | GENOVA | gensym |
Gensym Corporation | Gensym Standard Interface | Gentleman's Portable Coroutine System |
GEN-X | Geographical Information System | Geographic Information System |
geometric mean | GEORGE | GEORGE 3 |
George Boole | Georg Simon Ohm | GEOS |
GEPURS | Gerald | Gerald Sussman |
German | German National Research Center for Computer Science | GEST |
Get a life! | Get a real computer! | get.com |
getty | gf | GFDL |
g file | GFLOPS | GFR |
GFS | gh | GHC |
ghetto code | ghost | Ghostscript |
ghostview | GHz | GI |
gi | Gibson, William | gid |
GIF | GIF89 | GIF89a |
GIFF | gig | giga- |
gigabit | gigabits per second | gigabyte |
gigaflop | gigaflops | GigaHertz |
GIGO | gilley | gillion |
Gilmore, John | GIM-1 | GIN |
GINA | Ginger | GIP |
GIPS | GIRL | GIS |
Giuseppe Peano | GIYF | GKS |
GKS-3D | GL | gl |
Glammar | glark | Glasgow Haskell Compiler |
GLASS | glass | glass box testing |
glassfet | glass tty | GLB |
glibc | Glish | Glisp |
glitch | glob | global index |
globalisation | Global Network Navigator | Global Positioning System |
Global System for Mobile Communications | glork | GLOS |
GLOW | GLS | GLU |
glue | glue language | glyph |
Glypnir | gm | GMAP |
GMD | GMD Toolbox for Compiler Construction | GMT |
gn | gnarly | Gnat |
GNATS | GNN | GNOME |
Gnome Computers | GNU | GNU archive site |
GNU assembler | GNU awk | GNU BC |
GNU C | GNU C Library | GNU DC |
GNU E | GNU Emacs | GNU Free Documentation License |
GNU General Public License | GNUMACS | GNU mirror site |
GNU Network Object Model Environment | Gnuplot | GNU Privacy Guard |
GNU Problem Report Management System | GNU public licence | GNUS |
GNU sed | GNU Smalltalk | GNUstep |
GNU style | GNU superoptimiser | Go |
goal | goal seek | Go Back N |
gobble | GObject Introspection | Godwin's Law |
Godzillagram | Goedel | go-faster stripes |
Gofer | Goffin | go flatline |
GO-GO | go gold | GOL |
golden | golf ball printer | GOM |
gonk | gonkulator | GOOD |
Good Thing | Google | googol |
googolplex | gopher | Gopher client |
Gopher object type | Gopherspace | gorets |
gorilla arm | go root | gorp |
GOSIP | Gosling, James | GOSMACS |
Gosperism | GOSPL | gotcha |
goto | Gottlob Frege | gov |
governance | Government OSI Profile | go voice |
GP | gp | GPF |
GPIB | GPL | GPM |
GPRS | GPS | GPSS |
GPU | GPV | GPX |
gq | gr | GRAAL |
grabber pointer | Grace Hopper | GRAF |
Graffiti | GRAIL | GRAIN |
grain | GRAM | grammar |
grammar analysis | grammatical inference | Grandfather, Father, Son |
granularity | Grapes | Grapevine |
graph | Graph Algorithm and Software Package | graph coloring |
graph colouring | Graphic ALGOL | Graphical Kernel System |
Graphical User Interface | Graphic Display Interface | Graphic Language |
graphics | graphics accelerator | graphics adapter |
graphics adaptor | graphics card | Graphics Interchange Format |
Graphics Interface Format | Graphics Language Object System | graphic workstation |
Graph-Oriented Object Database | graph plotter | graph reduction |
graph rewriting system | GRAPPLE | GRAS |
GRASP/Ada | GRASPIN | grault |
Gray | graybar land | Gray code |
gray-scale | GRE | greater than |
greatest common divisor | greatest lower bound | Great Renaming |
Great Runes | Great Worm | greek |
greeking | Green | Green Book |
Green Book CD-ROM | green bytes | green card |
green lightning | green machine | green monitor |
Green's Theorem | Greenwich Mean Time | green words |
Greg Olson | Gregorian calendar | grep |
grey-scale | Greystone Technologies | GRG |
GRIB | grick | grilf |
Grim File Reaper | GRIND | grind |
grind crank | GRIP | gripenet |
gritch | grix | groff |
grok | gronk | gronked |
group | Group 3 | Group 4 |
Group Code Recording | group identifier | Group Separator |
Group-Sweeping Scheduling | Groupware | Groupwise |
grovel | grunge | gry |
GS | gs | GSBL |
GSI | GSL | GSM |
GSPL | GSS | GSS-API |
gt | gtg | GTK+ |
GTL | GT/SQL | gu |
guaranteed scheduling | guard | Guarded Horn Clauses |
gubbish | guest book | GUI |
GUIDE | Guide | Guide to Available Mathematical Software |
guiltware | gun | gunch |
Gunning Transceiver Logic | gunzip | Gupta Corporation |
gurfle | guru | guru meditation |
Guy Lewis Steele, Jr. | Guy Steele | GVL |
gw | GW-Ada | GW-BASIC |
gweep | GWHIS | GWM |
gy | Gypsy | gz |
gzip | h | H.261 |
H.264 | H.323 | Habitat |
hack | hackathon | hack attack |
hacked off | hacked up | hacker |
hacker ethic | hacker humour | hacking run |
Hacking X for Y | Hackintosh | hackish |
hackishness | hackitude | hack mode |
hack on | hack together | hack up |
hack value | ha ha only serious | hair |
hairball | hairy | hairy ball |
HAKMEM | hakspek | HAL |
half-duplex | halftone | HALGOL |
HALMAT | Hal/S | Halt and Catch Fire |
halting problem | Hamilton | Hamiltonian cycle |
Hamiltonian path | Hamiltonian problem | Hamiltonian tour |
Hamilton's problem | hammer | Hamming code |
Hamming distance | Hamming, Richard | hamster |
Han character | HAND | hand cruft |
Handel | hand hack | Hand-held Personal Computer |
handle | handoff | handover |
hand-roll | handshake | handshaking |
handwave | hang | hanja |
Hanoi | Han Unification | hanzi |
happily | Happy | haptic interface |
haptics | haque | hard boot |
hard-coded | hardcopy | hard crash |
hard disk | hard disk drive | hard drive |
hard link | hard linking | hard sector |
hardware | Hardware Abstraction Layer | hardware circular buffer |
Hardware Description Language | hardware handshaking | hardware register |
hardwarily | hard-wired | Harris Semiconductor Ltd. |
Harvard architecture | Harvard Graphics | Harvard Mark II Machine |
Harvest | Harvest C | hash |
hash bucket | hash character | hash coding |
hash collision | hash function | hashing |
hash table | Haskell | Haskell B |
Haskell Curry | Haskell User's Gofer System | HASL |
HASP | has the X nature | hat |
hate-driven development | Hayes | Hayes-compatible |
Haze | HBOOK | hc |
HC-900 | HCF | HCI |
HCLP | HCPRVR | HCS |
HD | HD6309 | HDA |
HDC | HDD | HDF |
HDFL | HDL | HDLC |
HDM | HDMI | HDSL |
HDTV | hdx | Head Disk Assembly |
header | Head Normal Form | head normalisation theorem |
heads down | head-strict | heap |
heartbeat | heatseeker | heat sink |
heat slug | heavy metal | heavyweight |
heavy wizardry | Hebbian learning | heisenbug |
Helen Keller mode | Helix | hello |
hello packet | hello, sailor! | hello, world |
HELP | Helvetica | henry |
HENSA | HEP | HEPDB |
HEPiX | HEPnet | HEPVM |
HEQS | HERA | HERAKLIT |
here document | Herman Hollerith | Hermes |
Hesiod | heterogeneous | heterogeneous network |
heterogenous | heuristic | heuristics testing |
Hewlett-Packard | Hewlett-Packard Graphics Language | Hewlett-Packard Interface Bus |
Hewlett Packard Multi Processing Executive | Hewlett Packard Precision Architecture | Hewlett-Packard Visual Engineering Environment |
hex | hexadecimal | hexidecimal |
hexit | HFC | HHCP |
HHOJ | HHOK | HHOS |
hi | HIBOL | HID |
hidden flag | hierarchical database | Hierarchical Data Format |
Hierarchical Design Methodology | hierarchical file system | Hierarchical Music Specification Language |
hierarchical navigation | Hierarchical Object Oriented Design | hierarchical routing |
hierarchy | Higgs Bugson | high bit |
High bit-rate Digital Subscriber Line | high colour | High-Definition Multimedia Interface |
high density | Higher Education National Software Archive | higher-order function |
higher-order macro | High-level Data Link Control | high-level language |
high memory area | high moby | High Performance Computing and Communications |
High Performance File System | High Performance Fortran | High Performance Parallel Interface |
High Performance Routing | High Performance Serial Bus | High Speed Circuit Switched Data |
High Speed Connect | High-speed Net Connect | high speed serial interface |
High Voltage Differential | HIGZ | hill climbing |
HiLog | HIMEM | Hindenbug |
hing | HINT | HiPAC |
HIPPI | hiragana | hirsute |
HISTORIAN | history | hit |
Hitachi 6309 | Hitachi HD64180 | HITL |
hit rate | hk | HL7 |
HLISP | HLL | HLLAPI |
hlp | hm | HMA |
HMAC | hmake | HMD |
HMP | HMSL | HMTL |
hn | HNAP | HNAP1 |
HNC | HO | Hoare powerdomain |
Hobbit | hobbit | hog |
HOL | HOL-88 | HOL-90 |
hole | hole model | Hollerithabetical order |
Hollerith, Herman | Hollywired | HOL-UNITY |
holy wars | home | home box |
home keys | home machine | Home Network Administration Protocol |
home page | Home Phoneline Networking Alliance | HomePNA |
home row keys | homogeneous | homogenous |
homomorphism | honeypot | Honeywell |
Honeywell-800 Business Compiler | HOOD | HOOK |
hook | hop | Hope |
Hope+ | Hope+C | Hopfield model |
Hopfield network | horizontal application | horizontal encoding |
horizontal loop combination | horizontal microcode | horizontal scan rate |
horizontal tabulation | Horn clause | hose |
hosed | HOS-STPL | host |
host adapter | Host Command Facility | Host Control Interface |
host-host layer | hosting | hostname |
host number | hosts file | Hot Fix |
HotJava | Hotline | Hotline Communications Ltd. |
Hotline Connect | hotlink | hotlist |
Hotmail | hot spot | Hot Standby Routing Protocol |
Hot Swapable Routing Protocol | Hot Swappable Routing Protocol | hot swapping |
HOTT | house wizard | Houston Automatic Spooling Program |
Howe, Denis | how many nibbles are in a byte | how many nibbles in a byte |
HP | hp2ps | HP9000 |
H/PC | HPCC | HPcode |
HPCode-Plus | HPF | HPFS |
HP-GL | HP-GL/2 | HP-IB |
HPL | HPLOT | HP-MPE |
HP-PA | HPPI | HPR |
HP-SUX | HP-UX | HP VEE |
hqx | hr | href |
hs | HSB | HSC |
HSCSD | HSL-FX | HSM |
HSRP | HSSI | HSV |
HT | ht | HTH |
HTLM | HTML | HTML+ |
htmlcommentbox.com | HTTL | HTTP |
HTTP/1.0 | HTTP cookie | HTTPd |
HTTP proxy server | HTTP response status code | HTTPS |
HTTP server | hu | hub |
Hubnet | hubs | Hudson |
hue | hue, saturation, brightness | hue, saturation, value |
huff | Huffman | Huffman code |
Huffman coding | Huffman encoding | Hugh Loebner |
HUGO | HUGS | Human-Computer Interaction |
Human-Computer Interface | Human Interface Device | Human Interface Technology Laboratory |
humanist technology | humma | humor |
humour | hung | Hungarian Notation |
Hungry Programmers | hungry puppy | Hungry ViewKit |
hungus | Hunt the Wumpus | Hurd |
HVD | Hybrid | Hybrid Fiber Coax |
hybrid multiprocessing | hybrid testing | hydra code |
hydrofluorocarbon | HyperBase | Hyper-C |
HyperCard | hypercube | Hyperion |
hyperlink | Hyper-Man | hypermedia |
HyperNeWS | Hyperscript | hyperspace |
HyperSPARC | Hyperstrict | HyperTalk |
hypertext | hypertext link | Hypertext Markup Language |
Hypertext Transfer Protocol | HyperText Transmission Protocol, Secure | hypervisor |
hyperware | hypotenuse | hysterical reasons |
Hytelnet | HyTime | i18n |
I2O | i386 | i486 |
i487 | i860 | IA |
IA32 | IAB | IAD |
IAL | IAM | IANA |
IANAL | IAP | I-APL |
IAR | IAS | IAW |
IAYSDAH | IBEX | IBM |
IBM 1130 | IBM 1403 | IBM 1620 |
IBM 1710 | IBM 2741 | IBM 3270 |
IBM 360 | IBM 370 | IBM 370ESA |
IBM 370XA | IBM 3720 | IBM390 |
IBM 650 | IBM 700 series | IBM 701 |
IBM 704 | IBM 7040 | IBM 705 |
IBM 709 | IBM 7090 | IBM 7094 |
IBM 801 | IBM compatible | IBM Customer Engineer |
IBM discount | IBM PC | IBM PC AT |
IBM PCjr | IBM PC XT | IBM System/36 |
IBM Systems Engineer | IBM zSeries | Ibpag2 |
Iburg | IC | ICA |
ICAM | ICANN | I-CASE |
ICBM address | ICE | icebreaker |
ICES | ICETRAN | ICI |
ICL | ICMP | ICMP Router Discovery Protocol |
I-Comm | iCOMP | Icon |
icon | Icon-Based Parser Generation System 2 | Iconicode |
ICONIX Software Engineering, Inc. | IC-Prolog | IC Prolog II |
ICQ | ICSI | ICT |
ICW | ICWS | Id |
id | I-D | ID10T |
IDAMS | IDD | IDE |
IDEA | IDEAL | ideal |
Idealized CSP | Idealized Instruction Set | IDEF |
IDEF0 | idempotent | identifier |
ideogram | I didn't change anything! | idk |
IDL | IDMS | IDMSX |
Id Nouveau | IDOL | IDS/I |
IDSN | id Software | IDSS |
IE | ie | IEC |
IEC 559 | IEEE | IEEE 1076 |
IEEE 1394 | IEEE 488 | IEEE 754 |
IEEE 802 | IEEE 802.1 | IEEE 802.2 |
IEEE 802.3 | IEEE 802.3u | IEEE 802.3z |
IEEE 802.4 | IEEE 802.5 | IEEE Computer Society |
IEEE Floating Point Standard | IEEE Standard 1149.1 | IEF |
IEN | IEPG | IESG |
IETF | IF1 | IF2 |
IFAC | IFC | ifdef out |
IFDL | IFF | iff |
IFIP | IFP | IFS |
IFX | If you want X, you know where to find it. | IGC |
IGES | IGL | IGMP |
IGP | IGPL | IGS |
IGU | IHS | IHV |
IIcx | IIDMS/R | IIL |
IINREN | IIOP | IIR |
IIRC | IIS | IIT |
IITF | IITRAN | il |
ILBM | ILF | ILIAD |
I-Link | ILISP | ill-behaved |
ILLIAC | Illiac IV | Illinois Functional Programming |
ILOC | Ilog Solver | iMac |
image | image formats | image map |
image processing | image recognition | imaging |
Imago Europe plc | Imago On-line | imake |
IMAO | IMAP | imc |
IMD | IMHO | IML |
immediate version | Imminent Death Of The Net Predicted! | IMNSHO |
IMO | IMP | impact printer |
impedance | imperative | imperative language |
imperative programming | Imperial College of Science, Technology and Medicine | Imperial Software Technology |
IMPlementation language | implication | implicit parallelism |
implicit type conversion | implies | imply |
import | imprecise probability | IMProved Mercury autocode |
IMR | IMS | IMS 6100 |
Imsai | IMS/Data Base | IMS/Data Communications |
IMSE | IMTC | in |
Ina Jo | InARP | in-band signaling |
in-band signalling | inc | incantation |
include | include war | inclusive |
incomparable | incremental analysis | incremental backup |
incremental constraint solver | Incremental Prototyping Technology for Embedded Realtime Systems | increment operator |
indent | indentation | indent style |
Independent Computing Architecture | Independent Logical File | Independent Verification and Validation |
index | Index Data | IndexedDB |
Indexed Sequential Access Method | index.htm | index.html |
index register | indices | indirect address |
indirect addressing | indirection | indirect jump |
induction | inductive inference | inductive relation |
Industrial Programming, Inc. | Industrial Robot Language | Industry Standard Architecture |
inetd | inews | infant mortality |
infeasible path | inference | inference engine |
inference rule | infimum | infinite |
Infinite Impulse Response | infinite loop | Infinite Monkey Theorem |
infinite set | infinity | infix notation |
infix syntax | inflate | INFN |
Infobahn | Info BASIC | infobot |
Informatics Corporation | information | Information Algebra |
Information and Communication Technology | Information Appliance | Information Builders |
Information Engineering Facility | information highway | Information Infrastructure Task Force |
Information Innovation | information island | Information Management |
Information Management System | information overload | Information Processing Language |
Information Resource Management | information superhighway | Information Systems Factory |
information technology | information technology governance | Information Technology Infrastructure Library |
Informix | InfoSeek | InfoStreet, Inc. |
infotainment | InfoWord Office | infrared |
Infrared Data Association | infrastructure | Inglish |
INGRES | inheritance | initgame |
initialise | Initial Microprogram Load | Initial Operational Test and Evaluation |
Initial Program Load | Initial Program Loader | initiator |
injection | inkjet printer | ink printer |
inline | inline element | inline image |
INMOS transputer | inner class | inner join |
inner product | InnovAda | inode |
in-order traversal | inout | IN point |
Inprise Corporation | Input | input |
input device | input/output | input/output redirection |
inquiry/response system | INRIA | insanely great |
insertion sort | INSIGHT | Insignia Solutions, Inc. |
inspection | installable file system | installed user base |
installer | instance | instance variable |
instantiate | instantiation | Institute for Global Communications |
Institute of Electrical and Electronics Engineers, Inc. | Institut National de Recherche en Informatique et Automatique | instruction |
Instruction Address Register | instructional technology | instruction mnemonic |
instruction prefetch | instruction register | instruction scheduling |
instruction set | instruction set architecture | Instruction Set Processor |
instrument | int | INTCODE |
integer | Integer SPECbaserate | Integer SPECbaseratio |
Integer SPECrate | Integer SPECratio | integrated circuit |
Integrated Database Management System | Integrated Development Environment | Integrated Drive Electronics |
Integrated Information Technology | Integrated Modelling Support Environment | Integrated Project Support Environment |
Integrated Services Digital Network | Integrated Systems Architecture | Integrated Systems Laboratory |
integration | integration testing | integrity |
integrity constraint | Intel | Intel 4004 |
Intel 4040 | Intel 486 | Intel 486DX |
Intel 486SX | Intel 487SX | Intel 8008 |
Intel 80186 | Intel 80188 | Intel 80286 |
Intel 80386 | Intel 80386DX | Intel 80386SX |
Intel 8048 | Intel 80486 | Intel 8051 |
Intel 8080 | Intel 8085 | Intel 8086 |
Intel 8088 | Intel 80x86 | Intel 8751 |
Intel Comparative Microprocessor Performance index | Intel Corporation | IntelDX4 |
Intel i960 | INTELLECT | intellectual property |
intelligent backtracking | intelligent database | Intelligent Input/Output |
Intelligent I/O | intelligent key | intelligent terminal |
IntelliMouse | Intel Literature Sales | Intelsat |
Intel x86 | intensional | Intent to Package |
INTERACTIVE | interactive | Interactive and Batch EXecutive |
Interactive CourseWare | Interactive Data analysis Language | Interactive Data Entry/Access |
Interactive Data Language | Interactive Development Environment | Interactive Development Environments |
Interactive Software Engineering | Interactive System Productivity Facility | Interactive Voice Response |
Interagency Interim National Research and Education Network | InterBase | INTERCAL |
Interchange File Format | INTERCOM | Interdata |
Interest Group in Pure and Applied Logics | interesting | inter-exchange carrier |
interface | interface analysis | Interface Architect |
Interface Definition Language | Interface Description Language | Interface Message Processor |
Interior Gateway Protocol | interlace | interlaced image |
interlacing | Interlan | Interleaf |
interleave | interleaving | INTERLINK |
Interlisp | Interlisp-10 | Interlisp-D |
Intermedia | Intermedia Interchange Format | intermediate code |
Intermediate Distribution Frame | Intermediate Programming Language | Intermediate System |
Intermediate System-Intermediate System | intermercial | Intermetrics, Inc. |
intermodulation distortion | internal field separators | Internal Translator |
International Algebraic Language | International Atomic Time | International Business Machines |
International Computers Limited plc | International Core War Society | International Data Encryption Algorithm |
International Electrotechnical Commission | International Federation for Information Processing | International Function Point Users Group |
internationalisation | internationalization | International Multimedia Teleconferencing Consortium |
International Olympiad in Informatics | International Organisation for Standardisation | International Organization for Standardization |
International Phonetic Alphabet | International Programmable Airline Reservation System | International Smalltalk Association |
International Standard | International Telecommunications Union | International Traffic in Arms Regulation |
Internaut | Internet | Internet Access Provider |
Internet Adapter | Internet address | internet address |
Internet Architecture Board | Internet Assigned Numbers Authority | Internet backbone |
Internet Chess Server | Internet Control Message Protocol | Internet Corporation for Assigned Names and Numbers |
Internet-Draft | Internet Engineering and Planning Group | Internet Engineering Steering Group |
Internet Engineering Task Force | Internet Experiment Note | Internet Explorer |
Internet Express | Internet Foundation Classes | Internet Go Server |
Internet Group Management Protocol | Internet Information Server | Internet Inter-ORB Protocol |
Internet Message Access Protocol | Internet Monthly Report | Internet Network Information Center |
internet number | Internet Open Trading Protocol | Internet Protocol |
Internet Protocol Control Protocol | Internet Protocol version 4 | Internet Protocol version 6 |
Internet provider | Internet Public Library | Internet Registry |
Internet Relay Chat | Internet Research Steering Group | Internet Research Task Force |
Internet Security Association and Key Management Protocol | Internet Server Application Programming Interface | Internet Service Provider |
Internet Society | Internet Telephony | Internet Telephony Service Providers |
internetworking | Internetwork Packet eXchange | Internet Worm |
Internex On-Line | InterNIC | interoperability |
interoperable database | inter-packet gap | interpolation |
Interpress | interpreted | interpreter |
Interpretive Menu Processor | Inter-process Communication | interrupt |
interrupt handler | interrupt list | interrupt priority level |
interrupt request | interrupts | Intersil 6100 |
Intersil 6120 | interstitial | Intertec |
interupt | interval | InterViews |
interworking | intranet | Intrinsics |
introspection | introspection annotation | Intrusion Countermeasure Electronics |
Intrusive Testing | Intuition | intuitionism |
intuitionistic logic | intuitionistic probability | intuitionist logic |
invariant | inverse | Inverse Address Resolution Protocol |
inverse comment convention | inverted index | invoking a method |
InWorld VR | IO | io |
I/O | IOI | Iomega Corporation |
I-OOA | I/O redirection | IOS |
Iota | IOT&E | IOW |
IP | IPA | iPad |
IP address | IPARS | I-Pay |
IPC | ipconfig | IPCP |
IPE | Iperf | IPL |
IP next generation | IPng | IP number |
IPS | IPSE | IPsec |
IPT | IP Telephony | IPv4 |
IPv6 | IPX | IPXCP |
IQ | iq | IQL |
IR | ir | IrBUS |
IRC | ircop | IRC penis war |
IrDA | IrDA-C | IrDA Control |
IrDA Data | IRDATA | IRDP |
IRDS | IRET | IRIS |
Iris | IRISA | IRIS Explorer |
IRIX | IRL | IRM |
iron | Iron Age | iron box |
Ironman | ironmonger | IRQ |
irrational number | irrefutable | IRSG |
IRTF | IRUS | Irvine Dataflow |
Irvine Research Unit in Software | IS | is |
IS-11172 | IS-13818 | ISA |
Isabelle | Isabelle-92 | Isabelle-93 |
ISA bus | ISAKMP | ISAM |
ISAPI | ISAPI filter | ISBL |
ISDE | ISDN | ISE |
ISEE | I see no X here. | ISETL |
ISF | ISINDEX | ISIS |
IS-IS | ISL | ISLisp |
ISMAP | ISO | ISO 10646 |
ISO 3166 | ISO 639-1 | ISO 639-2 |
ISO 8072 | ISO 8073 | ISO 8208 |
ISO 8326 | ISO 8327 | ISO 8485 |
ISO 8613 | ISO 8649 | ISO 8650 |
ISO 8805 | ISO 8807 | ISO 8822 |
ISO 8823 | ISO 8825 | ISO 8859 |
ISO 8859-1 | ISO 8879 | ISO 9000 |
ISO 9072 | ISO 9660 | ISO 9735 |
ISOC | ISO C | isochronous |
isochronous transfer | ISODE | ISO Development Environment |
ISO/IEC 10646-1 | ISO/IEC 26300 | isolated |
ISO Latin 1 | isometric joystick | isometry |
isomorphic | isomorphism | isomorphism class |
ISO Pascal | ISO seven layer model | ISP |
ISPBX | ISPF | ISPL |
ISPS | IST | ISTAR |
ISTM | ISV | ISWIM |
IT | it | ITAR |
Iterated Function System | iteration | iterative deepening |
iterator | Iternet | IT governance |
ITHACA | ITIL | ITP |
ITS | it's a feature | ITSP |
ITU | ITU-T | ITU-T X.680 |
ITU X.209 | Ivan | Ivan Sutherland |
Iverson's Language | IVR | ivs |
IVTRAN | IV&V | IVY |
IWay | IWBNI | IXC |
IXI Limited | IXO | IYFEG |
J | J2EE | J2ME |
J2SE | J3 | J73 |
jabber | JACAL | jaccl |
jack in | Jack Kilby | Jackson method |
Jacquard, Joseph-Marie | Jacquard loom | JAD |
JADE | Jade | jadeTeX |
JAffer's Canonical ALgebra | jaggies | JaM |
jam | James Clark | James' DSSSL Engine |
James Gosling | James H. Clark | JAM Programming Language |
JANET | JANET IP Service | Janus |
japh | jar | jargon |
Jargon File | Java | Java 2 |
Java 2 Platform | Java 2 Platform, Enterprise Edition | Java 2 Platform, Micro Edition |
Java 2 Platform, Standard Edition | Java 2 SDK | Java 2 Software Development Kit |
Java archive | JavaBeans | Java Community Process |
Java Database Connectivity | Java Development Kit | Java Message Service |
Java Native Interface | Java Open Language Toolkit | Java Remote Method Protocol |
Java Run-Time Environment | JavaScript | JavaScript Object Notation |
Java servelet | JavaServer Faces | JavaServer Pages |
Java servlet | Java Servlet Development Kit | Java Virtual Machine |
Java VM | JAZ | Jaz |
Jaz Drive | JAZELLE | JBIG |
JBOD | JBOPS | jc |
JCL | JCOOL | JCP |
JCS-13 | JDBC | JDK |
JEAN | Jean E. Sammet | Jean-Maurice-Émile Baudot |
JEDR | Jef Raskin | Jenga Code |
Jenkins | Jerry Sussman | JES |
JES2 | JES3 | JFCL |
JFDI | JFET | JFGI |
JFIF | jiffy | Jim Clark |
Jini | JIPS | JIT |
jitter | JK flip-flop | jm |
J.M.E. Baudot | JMHO | JMS |
JNI | JNT Association | jo |
job | Job Control Language | Job Entry System |
Jobs | job security | jock |
joe | joe code | John Atanasoff |
John Gilmore | John Mauchly | John McCarthy |
Johnniac | JOHNNIAC Open Shop System | John Ousterhout |
John Tukey | John Vincent Atanasoff | John von Neumann |
join | Joint Academic NETwork | Joint Bi-level Image Experts Group |
Joint Photographic Experts Group | Joint Technical Committee | Joint Test Action Group |
Joliet | jolix | JOLT |
Jonathan's Own Version Of Emacs | Jon Postel | JOOP |
Joseph-Marie Jacquard | Josephson Junction | JOSS |
Jossle | journal | journalling |
jove | JOVIAL | Joy |
Joyce | joystick | Joy, William |
jp | JPEG | JPEG-2000 |
JPEG File Interchange Format | jpg | JPL |
JPLDIS | J. Presper Eckert | J. Random |
J. Random Hacker | JRE | JRL |
JRMP | JS | JSA |
JSDK | JSF | JSON |
JSP | JSTL | JTAG |
JTB | JTC | JTC1/SC24 |
JTS | juggling eggs | Jughead |
juice jacking | jukebox | jump |
jumper | jump off into never-never land | jump trace buffer |
Junction FET | Junction Field Effect Transistor | Juno |
jupiter | Just a Bunch Of Disks | just-in-time |
JVM | Jym | K |
K5 | K56flex | K6 |
K7 | KA9Q | KADS |
kahuna | Kaleidoscope | Kali |
kamikaze packet | Kamin's interpreters | kana |
kangaroo code | kanji | KAOS |
KAP | Karel | katakana |
Katmai New Instructions | KB | KBMS |
kbps | KBS | KC-85/1 |
KC85/2 | KC85/3 | KC85/4 |
KCL | ke | KEE |
keep-alive | Keldysh Institute of Applied Mathematics | ken |
Kent Applicative Operating System | Ken Thompson | Kent Recursive Calculator |
Kerberos | Kermit | kern |
kernal | kernel | Kernel Parlog |
kernel style | Kernel User Interface Package | kerning |
Kevo | key | keyboard |
Keyboard Commando | keyboard plaque | Keyboard Send Receive |
Keyboard Video Mouse | Keyed-Hashing Message Authentication | Keyed Sequenced Data Set |
key escrow | key field | key frame |
keylogger | KeyNote Software | keypad |
keypal | KeySpell | keyword |
keyword in context | KFX | kg |
kgbvax | kh | Khornerstone |
Khwarizmi | ki | kibibyte |
KIBO | kiboze | kick |
kicking dead whales down the beach | Kid | KIDASA Software |
killer micro | killer poke | kill file |
kilo- | kilobaud | kilobit |
kilobits per second | kilobyte | kiloflops |
kiosk | KIPS | KIS |
KISS | KISS Principle | kit |
KL0 | KL1 | Klamath |
KLB | Kleene closure | Kleene star |
Kleene, Stephen Cole | Klerer-May System | KLOC |
klone | KL-ONE | kludge |
kluge | kluge around | km |
KMODEL | KMS | kn |
knapsack problem | KNI | Knights of the Lambda-Calculus |
knowbot | Knowbot Information Service | knowledge |
Knowledge Analysis and Design System | knowledge base | knowledge-based system |
knowledge level | Knowledge Management System | Knowledge Query and Manipulation Language |
knowledge representation | Knowledge Sharing Effort | Knowledge Systems Laboratory |
Known Lazy Bastard | Knuth | Kodak |
Kohonen | KOMPILER | Konrad Zuse |
Korf, Richard | Korn Shell | kp |
KQML | KR | kr |
K&R | KRC | K&R C |
kremvax | KRL | KRS |
K&R style | KRYPTON | ksh |
KSL | KSR | KTH |
kthx | KUIP | Kungliga Tekniska Högskolan |
KUTGW | Kvatro Telecom AS | Kvikkalkul |
KVM | kw | KWIC |
ky | Kyoto Common Lisp | kyrka |
kz | L0 | L0pht |
l10n | L1 cache | L2 cache |
L2CAP | L2TP | L6 |
la | label | label edge router |
Label Forwarding Information Base | label switched path | label switching |
Label Switching Router | Lab for Computer Science | Laboratoire lorrain de recherche en informatique et ses applications |
Laboratory INstrument Computer | Laboratory Virtual Instrument Engineering Workbench | LaborNet |
Labtech Notebook | LabVIEW | Lace |
lace card | ladder logic | LADY |
lag | Lakota | LALR |
lalr.ss | Lambada-Calculus | LAMBDA |
lambda abstraction | lambda-calculus | lambda expression |
lambda lifting | LambdaMOO | Lambda Prolog |
lamer | LAMINA | lamp-post error |
LAN | LAN administrator | LANCE |
language | language-based editor | language code |
Language for Communicating Systems | Language for the On-Line Investigation and Transformation of Abstractions | Language H |
language lawyer | Language Of Temporal Ordering Specification | Language Sensitive Editor |
language-sensitive editor | languages of choice | Laning and Zierler |
Lan Kanal Adapter | LANL | Lan Manager |
LANtastic | LAP | LAP4 |
LAPB | LAPD | LAPM |
LAPSE | laptop | laptop computer |
LaQuey | Larch | Larch/C++ |
LARCH/CLU | Large Installation Systems Administration | Larry Wall |
LART | larval stage | lase |
laser | laser printer | Lasherism |
last call optimisation | last-in first-out | LAT |
latch | latency | LaTeX |
Latin 1 | lattice | LAU |
laundromat | LAURE | LAVA |
law | LAWN | Lawrence Livermore Labs |
Lawrence Livermore National Laboratory | LAX | layer |
layer 1 | layer 2 | layer 3 |
layer 4 | layer 5 | layer 6 |
layer 7 | Layer Two Tunneling Protocol | laziness |
lazy evaluation | lazy list | Lazy SML |
lazy sml2c | Lazy Standard ML | lb |
LBA | LBE | LBL |
LBX | lc | LCC |
lcc | LCD | LCF |
LCL | lclint | LCP |
LCS | ld | LDAP |
LDB | LDL | LDL1 |
LDP | LDT | LE/1 |
leading | LEAF | leaf |
Leaf Distribution Limited | leaf site | League for Programming Freedom |
leak | leaky heap | Lean |
LEAP | leapfrog attack | leap second |
learning curve | leased line | least fixed point |
least recently used | least significant bit | least upper bound |
leaves | LEC | LECOM |
LED | LEDA | Leda |
LED page printer | LED printer | leech |
leet | left arrow | left brace |
left bracket | left join | left outer join |
left parenthesis | LeFun | legacy |
legacy code | legacy software | legacy system |
legal | legalese | LEGOL |
Le-Lisp | lemma | Lempel-Ziv compression |
Lempel-Ziv Welch compression | Lenat, Doug | lenient evaluation |
LEO | Leo | LER |
LERP | less than | LessTif |
let floating | letterbomb | level 1 cache |
level 2 cache | LEVEL5 OBJECT | level one cache |
level-sensitive scan design | level two cache | Lex |
lexeme | lexer | lexical analyser |
lexical analysis | lexical scope | lexical scoping |
lexiphage | LF | LFIB |
LG | LGDF | LGEN |
LGN | lha | LHARC |
lhs | li | Liana |
Liar | liar paradox | lib |
libg++ | library | Library of Efficient Data types and Algorithms |
librery | LIDO | LiE |
LIF | life | life-cycle |
LIFIA | LIFO | lifted domain |
LIGHT | light client | light-emitting diode |
light-emitting resistor | light pen | light pipe |
light-weight | Lightweight Directory Access Protocol | light-weight process |
like kicking dead whales down the beach | like nailing jelly to a tree | like this |
Lila | Lilith | LILLIAC IV |
lilo | Lily | LIMDEP |
LIM EMS | LIMP | Linc |
Lincoln Reckoner | LINCtape | Linda |
LindaLISP | line | line 666 |
linear address space | linear argument | linear assignment |
linear function | Linear Graph Notation | linear logic |
linear map | linear programming | linear space |
linear topology | linear transformation | linear type |
line conditioning | line eater | line editor |
line feed | line noise | line printer |
line probing | lines of code | lines per minute |
line starve | Lingo | LINGOL |
link | Link Access Procedure on the D channel | Link Access Protocol Balanced |
Link Access Protocol for Modems | linkage editor | Link Control Protocol |
link-dead | link editor | linked list |
linker | link farm | link loader |
link rot | links | Link State Routing Protocol |
LINPACK | lint | Linux |
Linux Documentation Project | Linux Loader | Linux Network Administrators' Guide |
Linux User Group | lion food | Lions Book |
LIPL | liquid crystal display | LIS |
LISA | Liskov, Barbara | Liskov substitution principle |
Lisp | LISP 1 | LISP 1.5 |
LISP 2 | LISP70 | LISP A |
LISP Extended Algebraic Facility | Lispkit | Lispkit Lisp |
Lisp-Linda | Lisp Machine | LISP Machine LISP |
Lisp Object-Oriented Programming System | LISP, Objects, and Symbolic Programming | Lisptalk |
LispView | list | list comprehension |
List Enhanced | listless | Listproc |
lists | Listserv | Liszt |
lite | literal | literate programming |
literature | LITHE | lithium lick |
LitProg | LITTLE | little-endian |
Little Smalltalk | live data | Live Free Or Die! |
livelock | LiveScript | liveware |
lk | LKA | LL |
LLC | LLGen | LLM3 |
LLNL | LLP | LM3 |
LMAO | LMDS | lmgtfy.com |
LML | LM-Prolog | LMTP |
LNF | LO | L&O |
load | load balancing | load-bearing printf |
lobotomy | LOC | local area network |
Local Area Terminal | local bus | locale |
local echo | local exchange carrier | localisation |
localised | locality | local loop |
local loopback addresses | Local Mail Transfer Protocol | Local Multipoint Distribution System |
locals | Local Shared Resources | LocalTalk |
local variable | location | Loch Ness Monster Bug |
locked and loaded | locked up | lock-in |
Locus | Loebner Prize | log |
logarithmus dualis | LogC | logic |
logical | logical address | Logical Block Addressing |
logical complement | Logical Interchange Format | Logical Link Control |
Logical Link Control and Adaptation Protocol | logical relation | logical shift |
logical shift left | logical shift right | Logical Unit |
Logical Unit 6.2 | Logical Unit Number | logic bomb |
Logic Design Language | logic emulator | Logic for Computable Functions |
logic gate | logic programming | Logic Replacement Technology |
logic variable | LOGIN | log in |
LOGISCOPE | Loglan | Loglan'82 |
Loglan-88 | LOGLISP | LOGO |
log off | LOGOL | logon |
log out | Lojban | LOL |
LOLITA | Lolli | LOM |
longitudinal parity | Longitudinal Redundancy Check | LOOK |
Look Ahead Left-to-right parse, Rightmost-derivation | Look ahead LR | look and feel |
Looking Glass | LOOKS | loop |
loop-and-a-half | loop combination | loop fusion |
LOOPN | LOOPS | loop through |
loose bytes | LOP | lord high fixer |
Lore | Lorem ipsum | Lorenz attractor |
LORIA | lose | loser |
losing | loss | lossage |
lossless | lossless audio compression | Lossless Predictive Audio Compression |
lossy | lossy audio compression | lost in the noise |
lost in the underflow | LOTIS | LOTOS |
lots of MIPS but no I/O | Lotus 1-2-3 | Lotus Development Corporation |
Lotus Notes | Lotus Notes Formula Language | LotusScript |
loudspeaker | Lout | love |
low-bandwidth | Low Bandwidth X | low earth orbit |
Lower Layer Protocol | lower set | Low Insertion Force |
LOWL | low-level language | low pass filter |
Low Voltage Differential | LPAC | LPC |
LPF | LPG | LPI |
LPL | lpm | LP MUD |
lpr | LPS | lp spooler |
LPT | lr | LRC |
LRLTRAN | LRU | ls |
LSA | LSB | LSE |
LSL | LSML | L-Soft |
LSP | LSR | LSSD |
LSYD | lt | LT-2 |
LTL | LTPS | LTR |
LTR3 | lu | LU6.2 |
lub | Lubarsky's Law of Cybernetic Entomology | Lucent Technologies |
LUCID | Lucid Emacs | Lucinda |
Lucy | LUG | luminance |
lump uncurrying | LUN | lunatic fringe |
Lund Simula | Lund Software House AB | lurk |
lurker | lurking | luser |
Luser Attitude Re-adjustment Tool | LUSTRE | lv |
lvalue | LVD | LWP |
ly | LYaPAS | Lycos |
lylafklc | lynix | LYNX |
Lynx | LynxOS | Lynx Real-Time Systems |
LYRIC | LZ77 compression | LZ78 compression |
LZ compression | lzexe | lzh |
LZH compression | LZW compression | M |
m2 | M2toM3 | M3 |
m4 | M5 | ma |
MAC | Mac | Mac-1 |
MAC-360 | MACA | MAC address |
MACAnalyst | Macaulay | MacBinary |
MACDesigner | macdink | MACE |
Mach | Machiavelli | machinable |
machine | machine code | machine cycle |
MAchine INdependent SAIL | machine instruction | machine language |
machine learning | Mach Interface Generator | machoflops |
Mac II | Mac IIcx | Macintosh |
Macintosh Common Lisp | Macintosh file system | Macintosh II |
Macintosh IIcx | Macintosh Operating System | Macintosh user interface |
Macintoy | Macintrash | MACL |
MacLisp | MacMinix | Mac OS |
Mac OS X | Mac Playmate | MacPPP |
MACRO | macro | macro- |
macrology | Macromedia | macro preprocessor |
Macro SAP | macrotape | MACSYMA |
MacTCP | MacX | MAD |
Mad/1 | Madaline | MADCAP |
MADTRAN | maggotbox | MAGIC |
magic | magic bullet | magic cookie |
magic number | Magic Paper | magic smoke |
Magic Switch Story | Magma | Magma2 |
MagmaLISP | magnetic disk | Magnetic Ink Character Recognition |
magnetic stripe | magnetic tape | magnetic tape drive |
magneto-optical disk | magneto-optical drive | magnetostrictive delay line |
MAGNUM | Magritte | mail |
Mail Application Programming Interface | mail bomb | mailbox |
mail bridge | mail exchanger | Mail Exchange Record |
mail exploder | mail filter | mail gateway |
mail hub | mailing list | mail merge |
mail path | mail server | Mail Transfer Agent |
Mail Transport Agent | mail user agent | Mail Users' Shell |
main | MAINBOL | Main Distribution Frame |
mainframe | mainframe programmer/analyst | main loop |
main memory | MAINSAIL | main store |
maintainer | maintainer script | maintenance |
Maisie | major delivery | Majordomo |
major release | Make | Makedoc |
makefile | Maker Interchange Format | MAL |
Malamud | MALI | mall |
malloc | malware | malware as a service |
MAN | man | managed code |
management | Management Information Base | Management Information System |
manager/html | Manager of Business Applications | Manchester Autocode |
Manchester encoding | Mandala | Mandelbrot, Benoit |
Mandelbrot set | mandelbug | Mandy Rice-Davis Applies |
manged | mangle | mangler |
mango | MANIAC | man-in-the-middle attack |
man page | MANTIS | mantissa |
manual testing | Manufacturer Resource Planning | Manufacturers Automation Protocol |
Manufacturing Automation Protocol | manularity | Many Integrated Core Architecture |
MAO | MAP | map |
MAPI | Maple | mapping |
marbles | Marc Andreessen | Margaret Hamilton |
marginal | Marginal Hacks | Maril |
marketroid | Mark I | Markov |
Markov chain | Markov model | Markov process |
Markowitz | mark-sweep garbage collection | markup |
Marlais | Mars | Marseille Prolog |
marshaling | marshalling | MARSYAS |
Martian | Martin Marietta Laboratories Moorestown | MARVIN |
Mary | MAS | MASCOT |
Mask Read-Only Memory | MASM | MasPar Unity |
masquerading | Massachusetts Institute of Technology | massage |
Massey Hope | Massively Multiplayer Online Game | Massively Multiplayer Online Role-Playing Game |
master | master boot record | Matchmaker |
Material Requirements Planning | Mathcad | Mathematica |
Mathematical Analysis without Programming | Mathematical Analyzer, Numerical Integrator and Computer | Mathematics in Recognizable Form Automatically Compiled |
MathJax | MATHLAB | MATH-MATIC or MATHMATIC |
math-out | MathWorks | MATLAB |
Matrix | Matrix Compiler | MATRIX MATH |
Matrix Math eXtensions | MAU | Mauchly, John W. |
mawk | maximal free expression | maximin |
MAXIMOP | maximum Maytag mode | maximum seek time |
maximum segment size | Maximum Transmission Unit | Maxis Software |
MB | MBASIC | Mbogo, Dr. Fred |
MBONE | MBps | mbps |
MBS | MC | mc |
MC68000 | MC68010 | MC68020 |
MC68030 | MC68040 | MC6809 |
MCA | MCAD | MCC |
McCulloch-Pitts neuron | MCDBA | MCDST |
McG360 | MCGA | MCI |
MCI Mail | MCL | M-Code |
MCP | MCP-1600 | MCPD |
MCS | MCSA | MCSD |
MCSE | mcvax | mcvert |
MD | md | MD5 |
MDA | MDAC | MDCT |
MDF | MDI | MDL |
Mean Time Between Failures | Mean Time Between Faults | Mean Time To Recovery |
measure | measurement | meatspace |
meatware | media | Media Access Control |
Media Access Unit | Media Converter | Media Gateway Control Protocol |
Medium Access Control | meeces | Meet |
meg | mega- | megabits per second |
megabyte | megabytes per second | megaflop |
megaflops | MegaHertz | megapenny |
MEGO | Mei | Mel |
MELD | MELDC | Melinda |
Mel Kaye | Mellor | meltdown |
Melvin Conway | member function | membership function |
meme | meme plague | memetic algorithm |
memetics | Memex | memo function |
memoisation | memoised function | memoization |
memoized function | memory | memory address space |
memory dump | memory farts | memory leak |
memory location | memory management | Memory Management Unit |
memory mapped I/O | memory protection | memory smash |
Memory Type Range Registers | MEMS | Mentat |
MENTOR | menu | menu bar |
menuitis | MENYMA/S | Mercury Autocode |
mercury delay line | MERISE | Merlin |
MEROON | Mesa | mesh |
MESI protocol | message | message board |
Message Digest 5 | message digest function | Message Handling System |
message passing | Message Passing Interface | message switching |
Message Transfer Agent | Message Transport Agent | Messaging Application Programming Interface |
Messaging Applications Programming Interface | mess-dos | META |
meta | META 5 | meta bit |
MetaCard | Meta-CASE tool | metaclass |
Meta Class System | Meta-Crystal | metadata |
Metadata Information Partners | META element | metafile |
METAFONT | metaheuristic | Meta-II |
metainformation | Meta-IV | METAL |
metalanguage | Metal Oxide Semiconductor | Metal Oxide Semiconductor Field Effect Transistor |
metaphone | metaprogram | metasyntactic variable |
metasyntax | META tag | Meta-Vlisp |
Met-English | METEOR | meter |
method | method invocation | methodology |
Methods | me too | metre |
metric | metric space | Metropolitan Area Network |
M-expression LISP | MFC | MFE |
MFLOPS | MFM | MFTL |
mg | MGCP | mh |
MHDL | MHEG | MHS |
MHz | MIB | MIB Variable |
MIC | Mic-1 | Mic-2 |
MICE | mice | Michigan Algorithm Decoder |
mickey | mickey mouse program | MICR |
micro | micro- | microarray |
Micro Assembly Language | microcentury | Micro Channel Architecture |
microchip art | microcode | Microcom Networking Protocol |
microcomputer | microcontroller | MicroDroid |
microelectromechanical system | Microelectronics and Computer Technology Corporation | MicroEmacs |
microfloppies | microfortnight | MicroGnuEmacs |
Micro Instrumentation and Telemetry Systems | Micro Interpreter for Knowledge Engineering | microkernel |
microLenat | microlog | micrometre |
Micro ML | Micron | micron |
Micron Electronics, Inc. | Micronetics Standard MUMPS | Micro$oft |
microperation | microphone | microPLANNER |
microprocesor | microprocessor | Microprocessor without Interlocked Pipeline Stages |
microprogramming | microReid | MICRO SAINT |
microsecond | Microserf | Microslop |
Microsloth Windows | Microsoft | Microsoft Access |
Microsoft Basic | Microsoft Certified Application Developer | Microsoft Certified Database Administrator |
Microsoft Certified Desktop Support Technician | Microsoft Certified Professional Developer | Microsoft Certified Solution Developer |
Microsoft Certified System Engineer | Microsoft Certified Systems Administrator | Microsoft Certified Systems Developer |
Microsoft Corporation | Microsoft Data Access Components | Microsoft Disc Operating System |
Microsoft Disk Operating System | Microsoft DOS | Microsoft Excel |
Microsoft Exchange | Microsoft Extended | Microsoft Foundation Classes |
Microsoft IIS | Microsoft IntelliMouse Explorer | Microsoft Internet Information Server |
Microsoft Mail | Microsoft Mail Application Program Interface | Microsoft Network |
Microsoft Networking | Microsoft Office | Microsoft Office Small Business Edition |
Microsoft Point to Point Encryption | Microsoft Project | Microsoft SQL Server |
Microsoft Windows | Microsoft Word | MicroStation |
microtape | Microware Corporation | Microwave Hardware Description Language |
MIDAS | Midas | Midas-WWW |
middle-endian | middleware | MIDI |
mid-level network | MIF | MIG |
MIGRAINES | MII | MIIS |
MIKE | mil | Milarepa |
MILITRAN | mill | millennium bug |
millennium meltdown | milli- | millihelen |
milliLampson | millisecond | MILNET |
MIMD | MIME | mimencode |
Mimer SQL | MIME type | MIMIC |
MIMOLA | mind mouse | mind uploading |
Minerva software | Minesweeper, Chess, Solitaire Expert | minicomputer |
Mini Disc | minifloppy | minimal automaton |
minimax | Mini-ML | minimum seek time |
Mini PL/I | Ministra | MINITAB II |
MINIX | Minnesota Internet Users Essential Tool | MINT |
MiNT | Mint Is Not TRAC | Minuet |
MINUIT | minus | minus infinity |
MIPS | MIPS project | MIPS R2000 |
MIPS R2010 | MIPS R3000 | MIPS R4000 |
MIPS Technologies, Inc. | Miracula | Miranda |
MIRFAC | mirror | mirroring |
mirror site | MIS | misbug |
MIS Director | misfeature | MISHAP |
Missed'em-five | missile address | missing |
miswart | MIT | MIT AI Lab |
MITI | MITILAC | MIT Licence |
MIT License | MIT Lisp Machine | MITRE Corporation |
MIT Research Laboratory for Electronics | MITS | MIT Scheme |
MIX | MIXAL | MIX Communications |
M-JPEG | MJS | mk |
ML | ml | ML-2000 |
MLAB | ML/I | MLISP |
ML Kit | MLL | ML-lex |
ML-Linda | ML Threads | ML-Twig |
mm | Mma | mmap |
MMCD | MMDF | MMI |
MML | MMO | MMOG |
MMORPG | MMS | MMU |
MMX | MMX technology | mn |
mnemonic | MNP | mo |
Mobile Subscriber Integrated Services Directory Number | Mobile Triton | mobo |
MOBSSL-UAF | moby | mockingbird |
Mockingboard | Mock Lisp | mod |
mod_autoindex | modal | modal logic |
modam | MODCAL | Mode |
mode | mode bit | MODEF |
MODEL | model | model checking |
modeling | modeling language | modelling |
modelling language | Modelsim | Model-View-Controller |
Model-View-Presenter | modem | MODEM7 |
moderator | Modified Frequency Modulation | modifier |
M O drive | MODSIM | MODSIM II |
Modula | Modula-2 | Modula-2* |
Modula-2+ | Modula-3 | Modula-3* |
Modula-3pi | Modula-P | Modula-Prolog |
Modula/R | modular arithmetic | Modular C |
MODUlar LAnguage | Modular Prolog | Modular SB-Prolog |
module | Modulex | modulo |
modulo arithmetic | modulo operator | Mohammed Al-Khawarizmi |
molly-guard | monad | monadic |
Mongolian Hordes technique | moniter | monitor |
monkey, scratch | monkey sort | monkey up |
Mono | monochrome | Monochrome Display Adapter |
monoid | monotonic | MONSTR |
Montage | Monte Carlo | monty |
MOO | Moof | Moore bound |
Moore graph | Moore's Law | moose call |
MooZ | Mops | MORAL |
more | moria | morphing |
Morse code | MORTRAN | MOS |
Mosaic | Mosaic Communications Corporation | Moscow ML |
MOSFET | Mosiac | MOS Technologies |
MOS Technology | most general unifier | Most Significant Bit |
motd | mother | motherboard |
Motif | Motion JPEG | Motion Picture Experts Group |
Motorola | Motorola 14500B | Motorola 6800 |
Motorola 68000 | Motorola 6801 | Motorola 68010 |
Motorola 68020 | Motorola 68030 | Motorola 68040 |
Motorola 68050 | Motorola 68060 | Motorola 6809 |
Motorola 680x0 | Motorola 68HC11 | Motorola 68LC040 |
Motorola 88000 | Motorola, Inc. | mount |
Mouse | mouse | mouse ahead |
mouse around | mouse arrest | mouse belt |
mouse droppings | mouse elbow | mouse mat |
mouse pad | mouse trails | mouso |
Moving JPEG | Moving Picture Expert Group | Moving Picture Experts Group |
Moving Pictures Experts Group | Moxie | Mozilla |
Mozilla Foundation | Mozilla Public License | mp |
MP1 | MP-1 | MP2 |
MP3 | MP3Pro | MPC |
MPC Level 1 Specification | MPC Level 2 Specification | MPEG |
MPEG-1 | MPEG-1 audio layer 1 | MPEG-1 audio layer 3 |
MPEG-1 layer 3 | MPEG-2 | MPEG-21 |
MPEG-2.5 audio layer 3 | MPEG-2 AAC Low Profile | MPEG-2 audio layer 3 |
MPEG-2 Low Sampling Frequencies Extention | MPEG-2 LSF | MPEG-2 MC |
MPEG-2 Multi Channel Extention | MPEG-3 | MPEG-4 |
MPEG-4 AAC Main Profile | MPEG-4 AAC SSR | MPEG-4 Advanced Audio Coding Scalable Sampling Rate |
MPEG-4 Harmonics, individual lines and noise | MPEG-4 Harmonic Vector eXCitation | MPEG-4 HILN |
MPEG-4 HVXC | MPEG-7 | MPEGplus |
MPG | mpg | MPI |
MPL | MPL II | MPLS |
MPLS domain | MP/M | MPP |
MPPE | MPPL | MPR II |
M-Prolog | MPS III | MPSX |
MPV | MPX | mq |
MQG | mr | MRAM |
MRDA | MRDS | MRI |
MROC | MROM | MRP |
MRP II | MRS | ms |
MS Access | MSAU | MSB |
MS-BASIC | MS-DOG | MS-DOS |
MSG.84 | msgGUI | MSIE |
MSM | MS Mail | MSN |
MS Office | MS Project | MSS |
MS-Windows | MswLogo | MS Word |
MSX | MSX-DOS | mt |
MTA | MTBF | mtc |
M Technology Association | MTOS | MTS |
MTTR | MTU | Mu |
mu | MUA | MUCAL |
MUD | muddie | Muddle |
mudhead | MUD Object Oriented | muFP |
Muhammad al-Khwarizmi | Muhammad ibn Musa al-Khwarizmi | Muieblackcat |
mu-law | Mule | Mul-T |
Multi-BinProlog | multiboot | multiC |
MultiCal System | multicast addressing | multicast backbone |
Multi-channel Memorandum Distribution Facility | multician | Multi-Color Graphics Array |
Multics | Multics Relational Data Store | Multiflow Computer |
Multi-Garnet | multihomed host | multilayer perceptron |
MultiLisp | multimedia | Multimedia and Hypermedia information coding Expert Group |
MultiMedia Compact Disc | MultiMedia Extension | Multimedia Integrated Conferencing for European Researchers |
Multimedia Internet Mail Extensions | Multimedia Messaging Services | Multimedia PC |
Multimedia Personal Computer | multimedia system | multi-part key |
Multi-Pascal | multiple access | Multiple Access with Colision Avoidance |
multiple boot | Multiple Document Interface | multiple inheritance |
Multiple Instruction Multiple Data | Multiple Instruction/Multiple Data | Multiple Master |
multiple perspective software development | multiple value | Multiple Virtual Storage |
multiplexer | multiplexing | multiplexor |
Multiplexor Channel | multiplex printer | Multipop-68 |
multiprocessing | multiprocessor | multiprogramming |
Multiprotocol Label Switching | Multipurpose Internet Mail Extensions | multiscan |
MultiScheme | Multistation Access Unit | multisync |
Multisystem eXtention Interface Bus | multitasking | Multi-tasking Program for Microcomputers |
multithreaded | multithreading | MultiTOS |
multi-user | Multi-User Dimension | Multi-User Dungeon |
Multi-User Shared Hallucination | Multi-Version Concurrency Control | multi-way branch |
MuMath | mumblage | mumble |
mumble mode | MUMPS | munch |
munching | munching squares | munchkin |
mundane | mung | munge |
MUP | MU-Prolog | Murphy's Law |
Muse | museum | MUSH |
Music | Musical Instrument Digital Interface | Musicam |
MuSimp | MUSL | mutant |
Mutation Testing | MuTeX | mutex |
mutter | mutual exclusion | mutually recursive |
mutual recursion | mux | mv |
MV* | MVC | MVCC |
MVP | MVS | MVS/ESA |
MVS/OE | MVS/Open Edition | MVS/SP |
MVS/XA | mw | MX |
mx | MXI | MXIbus |
MX Record | my | My Favourite Toy Language |
MYOB | MySpace | MySQL |
MySQL AB | MYSTIC | MZ |
mz | N | N10 |
na | nadger | NAG |
Nagling Coalescence | nagware | nailed to the wall |
nailing jelly | naive | naive user |
NAK | name capture | named |
named pipe | name resolution | name service switching |
namespace | naming convention | NaN |
NAND | nano- | nanoacre |
nanobot | nanocomputer | nanofortnight |
nanometre | nanosecond | nanotechnology |
Napier | NAPLPS | NAPSS |
narrowband | narrowing | NAS |
nasal demons | NASI | nastistical |
NASTRAN | nastygram | NAT |
Nathan Hale | National Advanced Systems | National Bureau of Standards |
National Center for Supercomputing Applications | national characters | National Database Language |
National Information Infrastructure | National Information Services and Systems | National Institute of Standards and Technology |
National Research and Education Network | National Science Foundation | National Science Foundation Network |
National Semiconductor | National Semiconductor 32000 | National Software Reuse Directory |
National Spatial Data Infrastructure | National Television Standards Committee | native compiler |
Native Language System | NATURAL | natural deduction |
Natural English | natural language | Natural Language Information Analysis Method |
natural language processing | natural number | nature |
NAU | nav bar | navigating |
navigation | navigation bar | Navigator |
Nawk | NB | NBFCP |
NBS | NBT | NC |
nc | NCD | NCP |
NCR Corporation | NCRL | NCR Language |
NCS | NCSA | ND |
NDIS | NDL | NDS |
ne | neat hack | neats vs. scruffies |
Nebula | NEC | NEC 780-C |
needs assessment | neep-neep | negation by failure |
negative acknowledgement | neighborhood bike code | NELIAC |
Neon | neophilia | Neptune |
nerd | Nerd pride | NERECO |
NESL | nested class | net |
net.- | netaddress | NetBEUI |
NetBIOS | NetBIOS Frames Control Protocol | NetBios over TCP/IP |
NetBOLLIX | NetBSD | netbui |
netburp | netCDF | Netcom On-line Communication Services, Inc. |
netdead | Netfind | net.god |
NetHack | netiquette | NETL |
netlag | NetLingo | netload |
Netmarq Limited | netmask | NetNanny |
netnews | net.personality | netpipes |
net.police | netquette | Netrek |
netrock | Netscape | Netscape Communications Corporation |
Netscape Navigator | Netscape Public License | netsplit |
netstat | netter | NetWare |
NetWare Core Protocol | Netware Directory Services | Netware Input/Output Subsystem |
NetWare Link State Protocol | network | network address |
Network Addressable Unit | Network Address Translation | Network Address Translator |
Network Administrator | Network Application Support | Network Attached Storage |
network byte order | network card | network closet |
Network Computing Devices | network database | Network Definition Language |
Network Device Interface Specification | network engineer | Network extensible Window System |
Network File System | Network Filing System | Network Information Center |
Network Information Service | Network Information System | networking |
network interface card | network interface controller | network layer |
network layer reachability information | network management | network meltdown |
Network News Transfer Protocol | network node | Network Node Interface |
network number | Network Operating System | network operating system |
Network Operations Center | network operator | network redirector |
network segment | Network Solutions, Inc. | network storm |
Network Termination | network, the | Network Time Protocol |
network topology | network transparency | NetX |
Net:X | neural nets | neural network |
neuron | Neutral Interconnect | neutrosophic |
neutrosophic logic | neutrosophic probability | neutrosophic set |
neutrosophic statistics | Neutrosophy | Never Offline |
newbie | New Flavors | newgroup wars |
New Jersey | newline | NEWP |
NEW Programming language | NeWS | news |
NewsClip | newsfroup | newsgroup |
newsletter | Newspeak | Newsqueak |
news reader | New Storage System | new talk |
New Testament | Newton | Newton-Raphson iteration |
Newton's method | NewWave | NewYacc |
New York State Educational Reasearch ETwork | New York University | NEXOR |
Nexpert Object | NeXT, Inc. | Next Program Counter |
NEXTSTEP | nf | NFA |
NFQL | NFR | NFS |
NFT | ng | NGL |
NHOH | ni | NIAL |
NIAL Systems Ltd. | NIAM | nibble |
Nibble Mode DRAM | NIC | NIC.DDN.MIL |
NICE | nick | nickle |
NICOL I | NIFOC | Nightmare File System |
night mode | NIH | NIHCL |
NII | NIKL | Niklaus Wirth |
NIL | niladic | Ninety-Ninety Rule |
Nintendo | NIOS | nipple |
NIS | NISO | NISS |
NIST | NJCL | nl |
NLANR | NLM | NLP |
NLRI | NLS | NLSP |
NLX | NMI | nML |
NMU | NN | nn |
NNI | NNTP | no |
NOC | NODAL | Nodal Switching System |
noddy | node | Node.js |
Node Package Manager | noise | noise margin |
noise shaping | NOL | NOMAD |
NOMEX underwear | Nominal Semidestructor | non-algorithmic procedure |
non-constructive proof | nondeterminism | nondeterministic |
nondeterministic automaton | nondeterministic polynomial time | Nondeterministic Turing Machine |
non-impact printer | non-interlaced | nonintrusive testing |
nonlinear | Non-Maintainer Upload | Non-Maskable Interrupt |
non-optimal solution | Nonpareil | non parity |
non-polynomial | Non Return to Zero Inverted | nontrivial |
Non-Uniform Memory Access | non-uniform quantising logarithmic compression | Non-Uniform Rational B Spline |
non-volatile | non-volatile memory | Non-Volatile Random Access Memory |
non-volatile storage | noob | no-op |
NOR | NORC COMPILER | NorCroft |
NORD PL | NORDUnet | norm |
normal distribution | normal form | normalisation |
normalised | normal order reduction | normed space |
northbridge | NorthWestNet | NOS |
NOT | Not-a-Number | notebook |
NoteCards | Notepad | Notes |
Nother | not ready for prime time | notspot |
notwork | Nova | Novell Data Systems |
Novell DOS | Novell, Inc. | Novell NetWare |
NOWEB | no-write allocation | NP |
np | NPC | NP-complete |
NP-hard | NP-hilarious | NPL |
npm | NPPL | N-Prolog |
NP time | NP tricky | NQS |
Nqthm | nr | NREN |
nroff | NRZ | NRZI |
ns | NS16000 | NS32000 |
NSA line eater | NSAPI | NSDI |
NSE | NSF | NSFIP |
NSFNET | NSI | nslookup |
NSRD | NSS | NT |
NT1 | NT5 | ntalk |
NTAS | NT File System | NTFS |
n-tier | NTIS | NTMBS |
NTP | NTSC | NTU |
nu | NuBus | nu-calculus |
NUCLEOL | nude | nuke |
null | nullary | null modem |
null-terminated multibyte string | NUMA | Number 5 Electronic Switching System |
number crunching | number keys | numbers |
number sign | numeric keypad | Numeris |
Nuprl | NU-Prolog | nurbs |
Nu Thena | nut loose on keyboard | NUXI problem |
NVL | NVRAM | NVS |
NWNET | NYAP | nybble |
nyet.gif | nyetwork | nym |
nym server | Nyquist frequency | Nyquist Theorem |
NYSERNET | NYU OMNIFAX | nz |
O | O2 | Oaklisp |
OAP | OASIS Open Document Format for Office Applications | OATH |
Ob- | OBDC | OBE |
Oberon | Oberon-2 | Oberon-V |
OBEX | obfuscated | Obfuscated C Contest |
obi-wan error | OBJ | OBJ0 |
OBJ2 | OBJ3 | object |
ObjectBroker | ObjectCenter | Object CHILL |
object code | Object-code Buffer Overrun Evaluator | Object Compatibility Standard |
Object Constraint Language | Object Database Management Group | Object Data Management Group |
Objecteering | Object Exchange | objectfuscated code |
object identifier | Objectionable-C | Objective C |
Objective CAML | Objective Modula-2 | Objective PASCAL |
Object Linking and Embedding | Object Lisp | ObjectLOGO |
Object Management Group | Object management system | object method |
Object Modelling Technique | Object Oberon | object-orientation |
object-oriented | object-oriented analysis | object-oriented database |
object-oriented design | Object-Oriented Fortran | object-oriented language |
Object-Oriented Pascal | object-oriented polymorphism | object-oriented programming |
object-oriented programming language | Object-oriented SQL | Object-Oriented Turing |
Objectory | ObjectPAL | Object Pascal |
Object Persistence Framework | object relational mapping | object relational modelling |
Object Request Broker | Object Role Modeling | Object Value |
Objectworks | Object Z | Objlog |
OBJT | ObjVlisp | ObjVProlog |
Obliq | oblique stroke | Oblog |
OBOE | OBSCURE | observational equivalence |
Oc | OC-12 | OC-3 |
OC-48 | OCAL | occam |
occam 2 | Occam's Razor | occlude |
occurs check | OCL | OCLC |
OC-n | OCODE | OCP |
OCR | OCS | octal |
Octave | octet | octothorpe |
OCX | OD390 | ODA |
ODBC | ODC | Ode |
ODI | ODIF | ODMA |
ODMG | odometry | ODP |
ODS | ODSA | ODT |
OEM | OFA | off-by-one error |
Office | office automation | Office By Example |
Office Workstations Limited | Official Production System | off-line |
off-line world | offset | offshoring |
off-side rule | off the trolley | ogg |
Ogg Vorbis | OHCI | Ohm |
Ohm, Georg Simon | ohnosecond | OIC |
OID | OIL | OLAP |
OLAP Council | OLC | OLDAS |
old fart | old talk | Old Testament |
OLE | OLE custom controls | OLE DB |
OLE for Process Control | OLGA | Olivetti |
O-Logic | OLTP | OLWM |
om | OMA | Omega |
Omega-algebraic | Omega test | OMF |
OMG | OMNICODE | OMNIFAX |
OMNITAB | OMR | OMS |
OMT | OMTool | ONC |
Ondine | one | one-banana problem |
one-dimensional array | one-line fix | one-liner wars |
ones complement | One-Time Password | One Time Programmable Read-Only Memory |
one-way function | one-way hash function | on-line |
On-Line Analytical Processing | Online Computer Library Center, Inc. | On-Line Computer system |
Online Media | On-line Process Synthesizer | Online Public Access Catalog |
On-Line Transaction Processing | Ontic | onto |
ontology | OnX | OO |
OOA | OOD | OODB |
OODBMS | OOF | OOGL |
OOo | OOP | OOPL |
OOPS | OOPSLA | OOSD |
OOZE | op | OPAC |
Opal | OPC | op code |
open | open box testing | open brace |
OpenBSD | open/closed principle | open-collar worker |
Open DataBase Connectivity | Open Data-link Interface | Open DeathTrap |
Open Desktop | Open Distributed Processing | Open Distributed System Architecture |
OpenDoc | OpenDocument | Open Document Architecture |
Open Document Interchange Format | Open Document Management API | OpenGL |
Open Graphics Library | Open Group | OpenInsight |
open interval | Open Look | Open Network Computing |
OpenOffice.org | open parenthesis | Open Prolog |
Open Scripting Architecture | Open Search | Open Shortest-Path First |
Open Shortest-Path First Interior Gateway Protocol | Open Software Foundation | open source |
Open Source Definition | Open Source Initiative | Open source license |
OpenStep | open switch | Open System Architecture |
Open Systems Interconnect | Open Systems Interconnection | Open Telecom Platform |
Open Trading Protocol | OpenTransport | Open University |
OpenVMS | OpenWindows | operand |
operating | operating system | Operating System/360 |
Operating System/Multiprogramming of Fixed Tasks | operational database | Operational Data Store |
operational requirements | operational semantics | operational test and evaluation |
operational testing | operation code | operations support technician |
operator | Operator Control Language | operator overloading |
OPF | Opportunity Management System | OPS |
OPS5 | OPS83 | Optical Carrier 12 |
Optical Carrier 3 | Optical Carrier 48 | Optical Carrier n |
Optical Character Recognition | optical computing | optical diff |
optical disc drive | optical disk drive | optical drive |
optical fiber | optical fibre | optical grep |
Optical Mark Reader | optical mouse | Optical Signal Processor |
optical storage | Optical Storage Technology Association | Optical Time Domain Reflectometer |
Optical Time Domain Reflectometry | optimal | Optimal Flexible Architecture |
optimise | optimising compiler | optimism |
optimize | option | OPTRAN |
Opus | OR | Oracle |
Oracle 7 | Oracle Card | Oracle*CASE |
Oracle Co-operative Applications | Oracle Corporation | Oracle Parallel Server |
Oracle Rdb | Oracle Toolkit | Orange Book |
ORB | Orbit | Orca |
Order Code Processor | order-embedding | ordering |
ordinal | ordinary differential equation | ordinate |
OREGANO | O'Reilly and Associates | org |
Organic Mode | Orient84/K | Original Equipment Manufacturer |
ORKID | ORM | orphaned i-node |
orphan process | ORTHOCARTAN | orthogonal |
orthogonal instruction set | Orwell | OS |
OS2 | OS/2 | OS/360 |
OS/390 | OS-9 | OSA |
OSA extension | OSAX | Osborne 1 |
Osborne, Adam | Osborne Computer Corporation | OSCAR |
OSD | OSE | OSF |
OSI | OSI Model | OSI Reference Model |
OSI-RM | OSI seven layer model | O'small |
OS/MFT | OS/MVT | OSP |
OSPF | OSQL | OSSL |
OSTA | OS/VS1 | OS/VS2 |
OT | OTDR | OT&E |
OTI | OTOH | OTP |
OTPROM | OTT | Ottawa Euclid |
Ousterhout, John K. | Ousterhout's dichotomy | Ousterhout's fallacy |
Ousterhout's false dichotomy | out | outer join |
outline font | out-of-band | output |
output device | Outside Awareness Port | outside-in testing |
outsourcing | overclocking | Overdrive |
overflow | overflow bit | overflow flag |
overflow pdl | overhead | overloading |
overriding | overrun | overrun screw |
overuse strain injury | OWHY | OWL |
Owl | Ox | Oz |
oz | Oz-Email | P+ |
P1754 | p2c | P2P |
P3L | P4 | P6 |
pa | PABX | PACE |
Packard Bell Electronics, Inc. | packed decimal | Packed Encoding Rules |
packet | Packet Assembler/Disassembler | packet driver |
Packet in Plastic Grid Array | Packet InterNet Groper | packet radio |
packet sniffer | packet sniffing | packet switch |
packet-switched | packet switching | Packet Switch Node |
packet writing | PackIt | PACT I |
PACTOLUS | PAD | padded cell |
Paddle | PAGE | page |
paged | Page Description Language | Paged Memory Management Unit |
page fault | page in | pagelet |
page mode | Page Mode DRAM | Page Mode Dynamic Random Access Memory |
page out | pager | paging |
PaiLisp | pain in the net | Paintbrush |
PAISley | Pajek | PAL |
Palace | palette | palmtop |
Palo Alto Research Center | Palo Alto Research Centre | PAM |
Pam | Pandora | panic |
PANON | PANS | Pansophic |
Pantone | PAP | Paper Feed Control Character |
papermail | paper-net | paper tape |
paraconsistent probability | PARADE | PARADIGM PLUS |
Paradigms of AI Programming | Paradise | Paradox |
paradox | Paradox Application Language | Paragon |
Paralation | Paralation C | Paralation LISP |
ParAlfl | Parallaxis | parallel |
Parallel Advanced Technology Attachment | Parallel ATA | Parallel C |
parallel computer | parallel computing | Parallel FORTH |
Parallel Fortran | Parallel Haskell | parallelism |
Parallel Pascal | parallel port | Parallel Presence Detect |
parallel processing | parallel processor | parallel random-access machine |
parallel reduction | Parallel Server Option | Parallel SML |
Parallel Sysplex | Parallel Virtual Machine | param |
parameter | parameter RAM | parametric polymorphism |
paraML | paranoid programming | ParaSoft Corp |
Parasol | PARC | ParcPlace Systems |
parent | parentheses | parent message |
parent process | Pari | Paris |
parity | parity bit | parity error |
Parkinson's Law of Data | Park-Miller | Parlance |
Parlog | Parlog++ | parm |
PARMACS | ParMod | PARS |
parse | PARSEC | parsed |
parser | parser generator | parsing |
Parsley | Partial Differential Equation LANguage | partial equivalence relation |
partial evaluation | partial function | partial key |
partially ordered set | partial order | Partial Response Maximum Likelihood |
partition | partitioned data set | PARTS |
PARULEL | PASC | Pascal |
Pascal- | Pascal-80 | Pascal+CSP |
Pascal-F | Pascal-FC | Pascal/L |
Pascal-Linda | Pascal-m | Pascal-P |
Pascal P4 | Pascal Plus | Pascal/R |
Pascal-S | Pascal-SC | pasos2 |
Pasqual | PASRO | PASSIM |
passive matrix display | passphrase | passw0rd |
password | Password Authentication Protocol | paste |
pastie | PAT | PATA |
patch | patch pumpkin | patch space |
PATCHY | path | path coverage testing |
pathname | pathname separator | pathological |
Path Pascal | pathspec | pattern matching |
pattern recognition | PAW | PAW++ |
payware | PB Cache | PBCAK |
PBD | PBEM | PBKAC |
PBM | PBX | PC |
pC++ | PC-1834 | PC200 |
PC-7150 | PCA | P-CAD |
PC AT | PCB | PC Card |
PCCTS | PCF | PCI |
PCI bus | PCI Configuration Utility | PCI Mezzanine Card |
PCI slot | PC-ism | PCjr |
PCL | PCLIPS | PCM |
PCMCIA | PCMIA | PCN |
PC-NFS | P-code | PC Pursuit |
PC-RT | PCS | PC-Scheme |
PCS/Geneva | PC support | PC-TALK III |
PCTE | PCTE+ | PC-TILES |
PCU | PC-ware | pcx |
PD | PDA | PDC |
PDC Prolog | PDEL | PDELAN |
PDES | PDF | PDFTeX |
PDH | PDIL | pdksh |
PDL | PDL2 | PDM |
PDP | PDP-10 | PDP-11 |
PDP-20 | PDP-6 | PDP-7 |
PDP Assembly Language | PDS | PDSA cycle |
PDS/MaGen | PDU | PE |
pe | PeaceNet | peak envelope power |
Peano | Peano arithmetic | PEARL |
Pebble | Pebbleman | PEBCAK |
PEBKAC | PECOS | Pedagogic Algorithmic Language |
PEEK | PEEL | peephole optimisation |
peephole optimization | peer | peer-to-peer |
peer-to-peer network | Pegasus | PEIPA |
PEM | PENCIL | pencil and paper |
penis war | Pentium | Pentium 2 |
Pentium 3 | Pentium II | Pentium III |
Pentium II Xeon | Pentium Pro | peon |
PeopleSoft | PEP | Pepper |
PEPsy | PER | percent |
Perceptional Adaptive Subband Coding | perceptron | percussive maintenance |
perf | perfect programmer syndrome | PERFORM |
period | periodic group | peripheral |
Peripheral Component Interconnect | peripheral device | Peripheral Technology Group |
Perl | Perl5 | perl-byacc |
Perl profiler | permanent link | Permanent Virtual Circuit |
Permanent Virtual Connection | permission | permutation |
perplexity | persistence | persistent |
Persistent Functional Language | persistent memory | Personal Communication Network |
Personal Communication Services | personal computer | Personal Computer Memory Card International Association |
Personal Digital Assistant | Personal Identification Number | Personalized Array Translator |
person of no account | perspective | PERT |
pessimal | pessimising compiler | peta- |
petabyte | petaflops | petdingo |
Peter P. Chen | Petri net | PETSCII |
PEX | pf | PFE |
PFL | pfm | pForth |
Pfortran | PFP | pg |
PGA | PGA370 | PGP |
PH | pH | ph |
phacker | phage | phase |
phase alternating line | Phase Encoded | phase of the moon |
phase-shift keying | phase space | phase-wrapping |
PHIGS | Philip R. Bagley | Philips |
Philips SCC68070 | Phil Katz | philosophy |
phishing | PHOCUS | Phoenix |
phone freaking | phone mail | phone phreaking |
Phonetastic | Phong shading | phosphor fatigue |
photo CD | Photoshop | PHP |
PHP: Hypertext Preprocessor | phpmyadmin | phreak |
phreaking | physical | physical address |
physical addressing | physical file | physical layer |
physical memory | physical memory address | Physical Sequential |
Physical Transport Network | Physics Analysis Workbench | PI |
pi | PIC | pi-calculus |
Pick BASIC | PICL | PICNIC |
pico- | picosecond | PICS |
PICT | PicTeX | pictogram |
pictograph | Pictorial Janus | picture |
picture element | Picture Quality Scale | PID |
pidgen+ | Pidgin | PIE |
pif | piggybacking | pig, run like a |
PIGUI | PIL | PILE |
PIL/I | PILOT | pilot error |
Pilot European Image Processing Archive | PIM | PIN |
PINBOL | Pine | pin feed |
ping | ping command | ping-flood |
pinging | ping-pong | Pin Grid Array |
Pink-Shirt Book | pin-out | PIO mode |
PIP | pipe | pipeline |
pipeline break | Pipeline Burst Cache | pipelined |
Pipelined Burst Cache | pipeline stall | pipelining |
PIPEX Ltd. | piping | piracy |
pirate | PIRL | pistol |
PIT | PITA | pixel |
pixels per inch | pixmap | pizza box |
PJPEG | PK | pk |
PKE | PKI | PKLITE |
PKUNZIP | PKWARE, Inc. | PKZIP |
pl | PL1 | PL/1 |
PL-11 | PL360 | PL516 |
PL-6 | PL.8 | Pla |
PLACE | PLAGO | plaid screen |
PLAIN | plain ASCII | Plain Old Documentation |
Plain Old Telephone Service | Plain Old Telephone System | plain TeX |
plaintext | PLAN | Plan 9 |
Planet | plan file | PLANIT |
Plankalkül | PLANNER | Planner-73 |
PLANS | plants | PLASMA |
Plastic Pin Grid Array | platform | Platform for Internet Content Selection |
Platform Independent Graphical User Interface | platinum-iridium | Platon |
PLAY | play by electronic mail | Playground |
Play, Inc. | playpen | Playstation |
playte | PLC | PL/C |
PL Cornell | PLD | plenum cable |
pleonasm | plesiochronous | Plesiochronous Digital Hierarchy |
Pleuk grammar development system | Plexus | PL/I |
PL/I-FORMAC | pling | plingnet |
PLisp | PL/I SUBSET | PL/I Subset G |
PLITS | PLL | PL/M |
PLMK | plokta | plotter |
PL/P | PL/PROPHET | PL/S |
PL/Seq | PL/SQL | PLTL |
plug and play | plug and pray | Plug Compatible Manufacturer |
Pluggable Authentication Module | plugh | plug-in |
PLUM | Plumber | plumbing |
Plural EuLisp | PLUS | plus |
PLUSS | ply | PM |
pm | pm2 | P-mail |
PMBX | PMC | PML |
PMP | pn | pnambic |
PNG | PNP | PNU-Prolog |
POA | PoB | POC |
POCAL | pocket calculator | pocket computer |
pod | P.O.D. | podcast |
POE | POFAC | POFOD |
POGO | point | point-and-drool interface |
point-and-grunt interface | pointed domain | pointer |
pointer swizzling | pointing device | pointing stick |
Point Of Contact | point of presence | point of sale terminal |
Point-to-Point Protocol | Point-to-Point Protocol over ATM | Point-to-Point Protocol over Ethernet |
Point-to-Point Tunneling Protocol | Poisson distribution | POJO |
poke | Pokémon exception handling | Polka |
poll | polling | Poly |
polygon pusher | POLYGOTH | polylithism |
Poly/ML | polymorphic | polymorphic lambda-calculus |
polymorphism | polynomial | polynomial-time |
polynomial-time algorithm | polyvinyl chloride | POM |
pompom | Ponder | Pong |
POOL | POOL2 | POOL-I |
Pooling Agreement for Technical Assistance | POOL-T | POP |
PoP | pop | POP++ |
POP-1 | POP-10 | Pop-11 |
POP-2 | POP3 | POP-9X |
POPART | POPCORN | pop-down menu |
POPJ | Poplar | POPLER |
POPLOG | POPLOG ML | POP server |
PopTalk | PopularitY of Programming Languages | POR |
porn | porno | pornography |
port | portability | portable |
Portable AIRTIME | Portable Commodore 64 | Portable Common Loops |
Portable Common Tool Environment | portable computer | Portable Document Format |
Portable Forth Environment | Portable Network Graphics | Portable Object Adapter |
Portable Operating System Interface | Portable Pixmap | Portable Scheme Debugger |
Portable Scheme Interpreter | Portable Standard Lisp | Portable Tool Interface |
Port Address Translation | PORTAL | portal |
porting | Port Language | portmapper |
port number | POS | POSE |
poset | positional representation | POSIX |
POSIX Threads | POST | post |
postcardware | posted write-through | Postel, Jon |
postfix notation | postfix syntax | POSTGRES |
PostgreSQL | posting | postmaster |
post office problem | Post Office Protocol | post-order traversal |
POSTQUEL | PostScript | Postscript point |
Post, Telephone and Telegraph administration | POSYBL | potential difference |
POTS | pound | pound on |
pound sign | POWER | PowerBuilder |
power cycle | powerdomain | PowerFuL |
power hit | Power Mac | Power Macintosh |
power-on reset | power-on self-test | PowerOpen |
PowerOpen Association | PowerOpen Environment | PowerPC |
PowerPC 601 | PowerPC G3 | PowerPC Platform |
PowerPC Reference Platform | Powerpoint | power save mode |
powerset | Powersoft Corporation | power supply |
power supply unit | PP96 | PPC |
PPCP | PPD | PPGA |
ppi | PPL | PPLambda |
PPM | PPN | PPP |
PPPoA | PPPoE | P-Prolog |
PPTP | PQS | PR |
pr | pr0n | PRA |
pragma | PRAM | Praxis Critical Systems |
pre\box | PRE-CC | PRECCX |
precedence | precedence lossage | precharge |
precision | predecessor | predicate calculus |
predicate logic | predict | predomain |
pre-emptive multitasking | prefetch | prefix |
prefix notation | prefix syntax | pre-order |
pre-order traversal | PREP | prepaging |
prepend | preprocessor | pre-sales support rep |
presence detect | presentation layer | Presentation Manager |
prestidigitization | PRESTO | Pretty Amazing New Stuff |
Pretty Good Privacy | pretty pictures | prettyprint |
pretzel key | preventive maintenance | PRI |
primary cache | Primary Domain Controller | primary key |
primary management domain | Primary Rate Interface | primary storage |
Prime Computer | Primenet, Inc. | prime number theorem |
prime time | primitive | Princeton University |
principal type | PRINT | printed circuit board |
printer | Printer Access Protocol | printer port |
printf | PRINT I | printing discussion |
print server | Print Services Facility | priority inheritance |
priority interrupt | priority inversion | priority queue |
priority scheduling | PRISM | Prisoner of Bill |
privacy | Privacy Enhanced Mail | private |
Private Automatic Branch eXchange | Private Branch Exchange | private key |
private-key cryptography | Private Manual Branch eXchange | privileged instruction |
PRL | PRMD | PRML |
probabilistic | probabilistic automaton | Probability of Failure on Demand |
Probe | problem state | Problem Statement Language/Problem Statement Analyser |
PROC | procedural | procedural language |
Procedural Language/SQL | procedure | proceedings |
process | Process and Experiment Automation Real-Time Language | process data |
Process Design Language 2 | process ID | process identifier |
processing | processor | Processor Direct Slot |
processor farm | Processor System Modeling Language | processor time |
process scheduling | process table | PROCOL |
Procomm | Procrustean string | Prodigy |
ProDoc | product | production system |
Professional Graphics Adapter | Professional Office System | professional programming |
professional services | PROFILE | profile |
PROFS | PROGENY | proglet |
program | Program Composition Notation | program counter |
Program Design Language | Program Evaluation and Review Technique | Program Information File |
Programmable Airline Reservation System | Programmable Array Logic | Programmable Interrupt Controller |
Programmable Logic Controller | Programmable Read-Only Memory | Programmed Data Processor |
PROgrammed Graph REwriting Systems | programmer | Programmer Brain Damage |
Programmer's Cheer | Programmers Hierarchical Interactive Graphics System | PROgrammer's Microapplication Language |
Programmer's Switch | programming | programming fluid |
programming language | Programming Language/Cornell | Programming Language/Systems |
Program Temporary Fix | program transformation | Prograph |
PROGRES | progressive coding | Progressive JPEG |
progressive/sequential coding | PROJECT | project assurance |
Project Athena | Project Guardian | projection |
projective plane | Project MAC | project management |
project planning | Prolog | Prolog++ |
Prolog-2 | Prolog-D-Linda | Prolog-II |
Prolog-III | Prolog-Linda | Prolog/Mali |
PROM | PROMAL | Prometheus |
promiscuous mode | PROM monitor | pron |
Pronet | Pronunciation | proof |
PROOF/L | proof theory | propeller head |
propeller key | proportionally spaced font | Proposal Writing |
proposition | propositional calculus | propositional logic |
Proposition of a Language Useable for Structured Specifications | proprietary | PROSE |
ProSet | PROSPER | Prospero |
ProTalk | protected mode | PROTEUS |
protocal | protocol | protocol analyser |
protocol converter | Protocol Data Unit | protocol layer |
protocol stack | protoduction | PROTON |
Protosynthex | prototype | Prototyper |
prototyping | provably difficult | provably unsolvable |
provider | provocative maintenance | prowler |
proxy | proxy ARP | proxy gateway |
Proxy Server | proxy server | PS |
PS1 | PS2 | PS/2 |
PS 440 | PSA | PS-ALGOL |
pSather | PSD | PSDN |
pseudo | pseudocode | pseudoprime |
pseudorandom number | PseudoScheme | pseudosuit |
pseudo-tty | PSF | PSI |
Psion | Psion Organiser | PSK |
PSL | PSL/PSA | PSML |
PSN | PSO | PSPP |
PSTN | PSU | psychedelicware |
psyton | pt | P-TAC |
ptc | PTF | Pthreads |
PTI | PTN | Ptolemy |
PTT | PUB | pub |
public directory | public domain | public domain software |
public-key cryptography | Public-Key Cryptography Standards | public-key encryption |
Public Key Infrastructure | Public Switched Telephone Network | PUBlishing |
puff | PUFFT | pull |
pull-down list | pull-down menu | pull media |
Pulse Code Modulation | pumpkin | pumpkineer |
pumpking | punch card | punched card |
punt | Purdue Compiler-Construction Tool Set | Purdue University |
pure functional language | pure lambda-calculus | PureLink |
Pure Lisp | purely functional language | Purify |
Purple Book | purple wire | Purveyor |
push | push-button | Push Down List |
push media | P value | PVC |
PVM | PV-WAVE | pw |
PWM | py | PYPL |
Pythagoras | Pythagoras's Theorem | Pythagoras' Theorem |
Pythagorean Theorem | Python | Q |
QA | qa | QA4 |
QAM | Qbasic | QBE |
QBIC | QCA | QCIF |
qdjanus | QDOS | QEMM386 |
QIC | QL | Qlambda |
QLISP | QLOG | QMQP |
QMTP | QMW | Q'NIAL |
QNX | QoS | QPE |
QSAM | QT-OBJECTS | QTRADER |
quad | Quadralay Corporation | Quadrature Amplitude Modulation |
quadruple bucky | quadruplex | Quake |
Qualcomm | quality | quality assurance |
quality control | quality of service | Quality Systems & Software Ltd. |
quantifier | Quantify | quantum |
quantum bogodynamics | quantum cell | quantum cell wire |
quantum computer | quantum computing | quantum dot |
Quantum-dot Cellular Automata | quarter | Quarter CIF |
Quarter Inch Cartridge | Quay Financial Software | QUEASY |
Queen Mary and Westfield College | Queens Problem | Queens Puzzle |
Queen's University | QUEL | query |
Query By Example | query by image content | query expansion |
ques | Quest | question mark |
queue | Queued Sequential Access Method | QUICK |
quick-and-dirty | QuickDraw | Quick Mail Queueing Protocol |
Quick Mail Transfer Protocol | Quicksilver | Quicksort |
QuickTime | quiesce | quiesce time |
QUIKSCRIPT | QUIKTRAN | QUIN |
quine | Quintec-Objects | quintillion |
Quintus Prolog | quote chapter and verse | quotient |
Qu-Prolog | Quty | qux |
QWERTY | QX | R2000 |
R2RS | R3.99RS | R3RS |
R4RS | rabbit job | RACE |
race condition | RACF | RAD |
Raddle | Radio-frequency identification | Radio Frequency Interference |
radio in the loop | radiosity | RADIUS |
radix | RAID | RAIL |
rainbow series | rain dance | RAIS |
RAISE | RAISE Specification Language | RAL |
RAM | Rambus DRAM | Rambus, Inc. |
RAMDAC | RAM disk | RAM drive |
RAMIS II | RAMTRON | random |
random-access memory | Random Access Memory Digital-to-Analog Converter | randomness |
random number | random testing | range |
ransomware | Rapid Application Development | RapidCAD |
Rapidly Extensible Language, English | rapid prototyping | Rapidwrite |
RAPT | RARE | rare mode |
RARP | RAS | RASP |
raster | raster blaster | raster burn |
raster font | rastergram | raster graphics |
Raster Image Processor | rasterising | rasterizing |
raster subsystem | Ratatosk | rat belt |
RatC | RATEL | Rate monotonic scheduling |
RATFIV | RATFOR | rational |
RATional Fortran | Rationalized C | rave |
rave on! | ravioli code | raw data |
raw mode | RAWOOP-SNAP | ray casting |
Rayleigh distribution | Ray Tomlinson | ray tracing |
R:BASE | RBASIC | RBCSP |
RBOC | rc | RC4 |
RCA 1802 | RCA 1805 | RCC |
rc file | RCL | rcp |
RCS | Rdb | rdb |
RDBA | RDBMS | RDF |
RDI | RDL | RDOS |
RDP | RDRAM | RDS |
RE | re | read-eval-print loop |
README file | Read-Only Memory | read-only user |
real | RealAudio | real estate |
real hack | reality check | realization |
Really Simple Syndication | real mode | real number |
real operating system | Real Programmer | Real Programmers Don't Use Pascal |
Real Simple Syndication | Real Soon Now | real-time |
Real-Time Common Design Language | Realtime Disk Operating System | Real-Time Euclid |
Real-Time Mentat | Real-Time Object-Oriented Modeling | Real-Time Operating System |
Real-Time Operating System Nucleus | Real-Time Pascal | Real Time Streaming Protocol |
real-time structured analysis | Real-Time Transport Protocol | real user |
Real Video | Real World | reaper |
reassembly | reboot | REC |
recent changes | recipe | recipient |
Recital | RECOL | Recommended Standard |
record | Record Management Services | records |
Record Separator | rectangle slinger | recurrence relation |
recurse | recursion | recursion theory |
recursive | recursive acronym | recursive definition |
recursive descent parser | Recursive Functional Algorithmic Language | Recursive Macro Actuated Generator |
recursive type | Red | Red Book |
Red Brick Intelligent SQL | Redcode | redex |
Red Hat | RediLisp | redirection |
redirector | RedNet Ltd. | redocumentation |
REDUCE | Reduced Instruction Set Computer | reduction |
reduction strategy | redundancy | Redundant Array of Independent Disks |
Redundant Array of Independent Drives | Redundant Array of Inexpensive Disks | Redundant Array of Inexpensive Servers |
Redundant Arrays of Inexpensive Disks | red wire | red zone |
re-engineering | re-entrant | refactoring |
REFAL | REF-ARF | reference |
reference counting | referential integrity | referentially transparent |
referential transparency | referer | referrer |
REFINE | Refined C | Refined Fortran |
reflexive | reflexive domain | Reflexive transitive closure |
RefLisp | refresh | refreshable braille display |
refreshable display | refresh rate | refuctoring |
refutable | regex | regexp |
Regina | regional network | register |
register allocation | register assignment | register dancing |
registered port | register set | register spilling |
Register Transfer Language | registry | regression |
regression testing | REG-SYMBOLIC | REGTRAL |
regular expression | Regular Expression Converter | regular graph |
rehi | Reid, Brian | reify |
re-image | reincarnation, cycle of | reinvent the wheel |
relation | relational algebra | relational calculus |
relational database | relational database management system | relational data model |
relational DBMS | relational language | relational model |
RELATIVE | relatively prime | relative pathname |
Relative Record Data Set | RELCODE | release |
released version | REL English | relevance |
reliability | Reliability, Availability, Serviceability | reliable communication |
Reliable Data Protocol | religion of CHI | religious issues |
REM | remailer | Remote Access Services |
Remote Database Access | Remote Desktop Protocol | remote echo |
Remote Job Entry | remote login | Remote Method Invocation |
remote monitoring | Remote Operations Service Element | Remote Procedure Call |
Remote Reference Layer | Remote Spooling Communication Subsystem | Remote Write Protocol |
removable disk | removable hard disk | rendering |
RenderMan Shading Language | rendezvous | REP |
repeat | repeater | repeating group |
repeat loop | repeat until | repetitive strain disorder |
repetitive strain injury | REPL | replacement algorithm |
Replay | replication | replicator |
reply | Repondez s'il vous plait | Report Program Generator |
repository | Representation Language Language | Request For Comments |
request for proposal | Request For Technology | Required-COBOL |
requirements | Requirements Acquisition and Controlled Evolution | requirements analysis |
Requirements Engineering | Research Systems, Inc. | ResEdit |
reserved memory | resolution | resolver |
Resource Access Control Facility | Resource Description Framework | resource fork |
Resource Reservation Protocol | Restricted EPL | restriction |
Restructured EXtended eXecutor | restructuring | retcon |
rete | RETI | Retrieve |
retrocomputing | retronym | return from interrupt |
return from the dead | Return To Zero | reusability |
reuse | Reverse Address Resolution Protocol | Reverse ARP |
reverse engineering | Reverse Polish Notation | reverse polish syntax |
Revised ALGOL 60 | revision | Revision Control System |
Revolutionary Surrealist Vandal Party | revolutions per minute | REX |
REXX | REXXWARE | RF |
RFC | RFC 1014 | RFC 1034 |
RFC 1035 | RFC 1057 | RFC 1058 |
RFC 1081 | RFC 1094 | RFC 1112 |
RFC 1119 | RFC 1123 | RFC 1156 |
RFC 1157 | RFC 1171 | RFC 1208 |
RFC 1213 | RFC 1267 | RFC 1268 |
RFC 1304 | RFC 1321 | RFC 1334 |
RFC 1341 | RFC 1347 | RFC 1350 |
RFC 1388 | RFC 1436 | RFC 1441 |
RFC 1442 | RFC 1443 | RFC 1444 |
RFC 1445 | RFC 1446 | RFC 1447 |
RFC 1448 | RFC 1449 | RFC 1450 |
RFC 1451 | RFC 1452 | RFC 1475 |
RFC 1508 | RFC 1509 | RFC 1520 |
RFC 1521 | RFC 1526 | RFC 1531 |
RFC 1550 | RFC 1561 | RFC 1568 |
RFC 1591 | RFC 1630 | RFC 1661 |
RFC 1700 | RFC 1701 | RFC 1702 |
RFC 1707 | RFC 1730 | RFC 1756 |
RFC 1760 | RFC 1777 | RFC 1778 |
RFC 1795 | RFC 1823 | RFC 1825 |
RFC 1831 | RFC 1861 | RFC 1938 |
RFC 1951 | RFC 1959 | RFC 1960 |
RFC 2045 | RFC 2046 | RFC 2047 |
RFC 2048 | RFC 2049 | RFC 2060 |
RFC 2061 | RFC 2068 | RFC 2093 |
RFC 2131 | RFC 2234 | RFC 2236 |
RFC 2246 | RFC 2279 | RFC 2281 |
RFC 2298 | RFC 2326 | RFC 2364 |
RFC 2408 | RFC 2516 | RFC 2543 |
RFC 2795 | RFC 2821 | RFC 3232 |
RFC 4213 | RFC 792 | RFC 793 |
RFC 821 | RFC 822 | RFC 826 |
RFC 854 | RFC 903 | RFC 908 |
RFC 959 | RFCOMM | RFE |
RFI | RFID | RFP |
RFT | RG58 | RG8 |
RGB | Rhapsody | ribbon cable |
rib site | rice box | Richard Gabriel |
Richard Hamming | Richard Korf | Richard P. Feynman |
Richard P. Gabriel | Richard Stallman | rich object |
Rich Site Summary | Rich Text Format | RIFF |
RIGAL | Rigel | right brace |
right bracket | right-click | right join |
right outer join | right parenthesis | Right Thing |
Rijndael | ring network | ring topology |
Riordan's Internet Privacy Enhanced Mail | RIP | rip |
ripcording | RIPE | RIPEM |
ripper | RISC | RISCiX |
RISC OS | RiscPC | RISC System/6000 |
Risk Based Testing | RISQL | RITL |
RJ-11 | RJ-45 | RJE |
RKM | RL | rl |
RLaB | RLDRAM | RLE |
RLF | RLL | rlogin |
RMAG | RMAIL | RMI |
RM-ODP | RMON | RMS |
RNF | ro | roach |
ROADS | Robert T. Morris | ROBEX |
RoboHELP | robot | robot exclusion standard |
robots.txt | robust | Rockwell Protocol Interface |
Rocky Mountain Basic | rococo | ROCOF |
roff | ROFL | ROFLMAO |
rogue | rollback | ROM |
ROM BIOS | ROME | Rom Kernel Manual |
room | ROOM Methodology | room-temperature IQ |
root | root bridge | root directory |
root mode | root node | Root Normal Form |
roots | root version | ROSE |
Rosette | Roskind grammars | rot13 |
rotary debugger | rotational latency | rotations per minute |
ROTFL | ROTFLMAO | ROTFLMAOASTC |
ROTFLOL | round-robin | round tape |
round-trip time | route | routed |
route flapping | routeing | routeing domain |
route leak | router | routine |
routing | Routing Information Protocol | routing policy |
Routing Table Maintenance Protocol | row | Row Address Strobe |
row-level locking | Royal Veterinary and Agricultural University | RPC |
RPG | RPG-II | RPI |
RPL | RPL-1 | RPM |
rpm | RPN | Répondez s'il vous plait |
RPT | RRL | RRS |
RS | RS-232 | RS-232C |
RS-232D | RS-422 | RS-423 |
RS-449 | RS-485 | RS6000 |
RS/6000 | RS6K | RSA |
RSA Data Security, Inc. | RSA encryption | RSCS |
Réseaux Associés pour la Recherche Européenne | Réseaux IP Européens | RS flip-flop |
rsh | RSI | RSL |
RSN | RSS | RSS feed |
rstat | RSTS/E | RSVP |
RT-11 | RTBM | RTC++ |
RT-CDL | RTEE | RTF |
RTFAQ | RTFB | RTFM |
RTFM.Humanitarian | RTFS | RTI |
RTL | RTL/1 | RTL/2 |
RTM | RTMP | RTOS |
RTP | RT-PC | RTS |
RTSA | RTSP | RTT |
RTTI | RTTY | ru |
rubi | Ruby | rude |
RUFL | run | RUNCIBLE |
run commands | runes | runic |
run-length encoding | Run Length Limited | run time |
run-time environment | run-time error | run-time library |
run-time support | run-time system | Run-Time Type Information |
ruptime | RUSH | Russell |
Russell, Bertrand | Russell's Attic | Russell's Paradox |
rusty iron | rusty memory | RUTH |
rw | rwho | RWP |
Rx | RYFM | S |
s/// | S3 | SA |
sa | SA-110 | SAA |
Saber-C | Saber-C++ | SAC |
SAC-1 | SAC2 | sacadm |
sacred | SAD | SAD SAM |
SADT | SAFARI | safe |
safe mode | safety | safety-critical system |
saga | sagan | SAGE |
SAIC | SAID | SAIL |
SAINT | Saint Andrews Static Language | SAL |
SALEM | Sales Automation | salescritter |
Sales Force Automation | S-ALGOL | SALT |
salt | salt mines | salt substrate |
SAM | sam | SAM76 |
Samba | SAME | same-day service |
SAMeDL | samizdat | SAML |
Sammet, Jean E. | sample | sample rate |
sampling | sampling frequency | samurai |
SAN | sandbender | sandbox |
Sandman | San Francisco | sanity check |
Santa Cruz Operation | SAP | SAP AG |
SAPI | SAR | SAS |
SASD | SASE | SASI |
SASL | SASL+LV | SASL-YACC |
SAS System | SATA | SATAN |
Sather | Sather-K | satisfiability problem |
saturation | Saturday-night special | sausage code |
SAVE | save | save as |
say | sb | SB AWE32 |
SBCS | SBD | SBE |
SBM | SB-Prolog | SBR |
SBus | SC | sc |
SCA | SCADA | scag |
scalability | Scalable Coherent Interface | Scalable Processor ARChitecture |
Scalable Sampling Rate | Scalable Vector Graphics | scalar |
SCALLOP | SCAN | scan |
scan design | SCANDISK | Scan-EDF |
scanf | Scan-In, Scan-Out | scan line |
scanner | scanno | scan path |
scan register | scar tissue code | SCC |
SCCS | SCEPTRE | Schachter's Hypothesis |
scheduler | scheduling | Scheduling API |
Scheduling Application Programming Interface | Schelog | schema |
Schema Definition Set | Schema Representation language | schematic capture |
schematic type variable | Schematik | Scheme |
Scheme84 | Scheme88 | Scheme->C |
Scheme Library | Scheme-Linda | Scheme Object System |
Scheme Repository | Scheme-to-C | Schlaer-Mellor |
Schoonschip | schrödinbug | SCI |
Science and Engineering Research Council | Scientific Data Systems | Sci-Hub |
SCL | SCM | SC/MP |
SCO | Scode | SCOOP |
SCOOPS | SCOPE | scope |
Scorpion | Scott-closed | Scott domain |
SCPI | SCPI Consortium | scram switch |
SCRAP | scratch | scratch disk |
scratch monkey | Scratchpad I | Scratchpad II |
scream and die | Screamer | screaming tty |
screen | screen blanker | screen dump |
Screen Peace | screen popping | screen reader |
screen refresh | screen saver | screen scraper |
screen server | screen sharing | Screenwrite |
screw | screwage | scribble |
Scribe | SCRIPT | script |
Scriptics | scripting language | scrog |
SCROLL | scroll | scrollable list |
scroll bar | scrolling | scrool |
scrozzle | scruffies | SCSI |
SCSI-1 | SCSI-2 | SCSI-3 |
SCSI adapter | SCSI adaptor | SCSI controller |
SCSI ID | SCSI initiator | SCSI interface |
SCSI reconnect | SCSI target | ScumOS |
scuzzy | SD | sd |
sdcv | SDDI | SDE |
SDF | SDH | SDI |
SDK | SDL | SDL 92 |
SDLC | SDM | SDMS |
SDP | SDRAM | SDRC |
SDR-RAM | SDR-SDRAM | SDS |
SDS 92 | SDS 940 | SDSL |
SE | se | SEA |
Seagate Technology | SEAL | search |
search algorithm | search-and-destroy mode | search engine |
search problem | search term | Search The Fucking Web |
SEC | SECC | SECD machine |
secondary cache | secondary damage | secondary key |
secondary storage | second generation | second generation computer |
second generation language | second level cache | second normal form |
Second-Order Lambda-calculus | second-system effect | sector interleave |
sector interleaving | sector map | sector mapping |
Secure File Transfer Protocol | Secure Hash Algorithm | Secure Multipurpose Internet Mail Extensions |
Secure Shell | Secure Sockets Layer | security |
Security Administrator's Integrated Network Tool | Security Association | Security Association ID |
security through obscurity | security vulnerability | SED |
Sed | SEE | seed |
seek | seeking | seek time |
SEESAW | see u see me | SEGA |
Sega Genesis/MegaDrive | segfault | seggie |
segment | segmentation | segmentation and reassembly |
segmentation fault | segmented address space | segv |
SEI | Seiko RC-4000 | SEL |
Selective Dissemination of Information | selector | Self |
Self-Extensible Language | Self Extracting Archive | self-reference |
selvage | SEM | semantic gap |
semantic network | semantics | semaphore |
semi | Semi-Automatic Ground Environment | semicolon |
semiconductor | Semidetached Mode | SENDIT |
sendmail | sendmail.cf | Sendmail Inc. |
Seneca | senior bit | sense |
sensor | sentence | SE-ODP |
SEP | separate compilation | SEPIA |
SEPP | Seque | Sequel |
sequence | Sequenced Packet Exchange | sequencer |
Sequent | sequential coding | sequential file matching |
Sequential Parlog Machine | sequential processing | SERC |
SERCOS | serial | Serial Advanced Technology Attachment |
Serial ATA | serial communications | Serial Communications Interface |
Serial Interface adapter | serial IO chip | serialise |
serialize | serial line | Serial Line Internet Protocol |
Serial Line IP | Serial Peripheral Interface | serial port |
Serial Presence Detect | serial processing | serial processor |
Serial Storage Architecture | serve | servelet |
server | serverlet | Server Message Block |
server-parsed HTML | server room | servers |
server-side | server-side include | service |
serviceability | Service Access Point | Service Advertising Protocol |
Service Discovery Protocol | service-oriented architecture | service provider |
Service Set Identifier | servlet | session |
Session Initiation Protocol | session layer | SES/workbench |
SET | set | set abstraction |
set associative cache | set complement | set comprehension |
Set Equation Transformation System | Setext | SETL |
SETL2 | SETL/E | Set Priority Level |
SETS | set theory | set-top box |
SEUS | seven layer model | Seven-Segment Display |
SEX | sexadecimal | sex changer |
SEXI | Seymour Cray | SFA |
SFBI | SFD-ALGOL | SFFA |
SFL | SFLV | sFTP |
sg | SGCP | SGI |
SGML | sgmls | SGML Tagger |
SGRAM | sh | SHA |
SHACO | SHADOW | shadowing |
shadow ram | shallow binding | shambolic link |
Shape_VC | ShapeTools | shar |
sharchive | sharding | Share and enjoy! |
shared memory | Shared Time Repair of Big Electronic Systems | shareware |
shar file | sharp | Sharp APL |
S-HDSL | shebang | SHEEP |
shelf | shelfware | SHELL |
shell | shell out | shell script |
shell variable | shielded twisted pair | SHIFT |
Shift In | shift left logical | Shift Out |
shift right logical | shim | shitogram |
Shockwave | shockwave | Shockwave Flash |
shopbot | short card | Short Code |
shortcut | Shorten | shortest job first |
Short Message Service | shotgun debugging | shoulder surfing |
shovelware | Show-And-Tell | showstopper |
shriek | shrug report | sht |
SHTF | shtml | Shub-Internet |
SHUG | Shugart, Alan F. | Shugart Associates |
Shugart Technology | SI | si |
SIA | SIBO | SICL |
SICS | SICStus Prolog | sidecar |
side-effect | Siemens | Siemens Nixdorf Informationssteme, AG |
Sierra | SIFT | SIG |
Sig | sig | sig block |
SIGBUS | SIGhyper | SIGLA |
SIGMA | SIGNAL | signal |
signalling rate | Signalling System 7 | signal-to-noise ratio |
signature | sig quote | sig virus |
SIL | Silage | silicon |
silicon chip | Silicon Graphics, Inc. | Siliwood |
silly walk | silo | Silver Book |
silver bullet | SIM | SIMAN |
SimCity | SimCity 2000 | SIMD |
Similix | SIML/I | SIMM |
Simone | Simonyi, Charles | SIMPAC |
SIMPAS | SIMPL | SIMPLE |
Simple Actor Language | Simple Authentication and Security Layer | Simple Gateway Control Protocol |
Simple Mail Transfer Protocol | simple multicast protocol | Simple Network Management Protocol |
Simple Network Management Protocol version 2 | Simple Network Paging Protocol | Simple Object Access Protocol |
simplex | simplex method | simplex printer |
SIMPL/I | Simplified Multicast Routing Protocol | SIMPL-T |
SIMSCRIPT | SIMSCRIPT I.5 | SIMSCRIPT II.5 |
simship | SIMULA | SIMULA 67 |
SIMULA I | simulate | simulated annealing |
Simulating Digital Systems | simulation | SIMulation ANalysis |
Simulation Language for Alternative Modeling | Simulation Oriented Language | Simultaneous Engineering Environment |
Simultaneous Peripheral Operation On-Line | simultaneous shipment | Simware, Inc. |
SINA | since time T equals minus infinity | Sinclair, Clive |
Sinclair PC200 | Sinclair Radionics | Sinclair Research |
sine wave | single assignment | single assignment language |
single-attached | Single Connection Attach | Single Connector Attachment |
Single Data Rate Random Access Memory | Single Data Rate Synchronous Dynamic Random Access Memory | Single Document Interface |
single-duplex | Single Edge Contact | Single Edge Contact Cartridge |
Single Edge Processor Package | single-electron transistor | Single Electron Tunneling |
Single Electron Tunneling Technology | single ended | Single Image Random Dot Stereogram |
single inheritance | Single In-line Memory Module | Single Inline Pin Package |
Single Instruction Multiple Data | Single Instruction/Multiple Data | Single-line Digital Subscriber Line |
single-page web application | Single-pair High Speed Digital Subscriber Line | single program/multiple data |
single quote | single sign-on | single sourcing |
single static assignment | singleton variable | Single Virtual Storage |
siod | SIP | SIPB |
SIPLAN | SIPP | SI prefix |
Siprol | SIR | SIRDS |
Siri | SIRTS | SISAL |
sit | SITBOL | site map |
sitename | SIxteen Bit Organisers | sj |
sk | Skel-ML | Sketchpad |
S/Key | Skill | Skim |
SkipJack | SKOL | skolemisation |
S-K reduction machine | skrog | SKsh |
SKU | skulker | SL |
sl | SL5 | slack |
slackware | SLAM | SLANG |
S-Lang | slap on the side | slash |
slashdot effect | slave tty | SLD resolution |
sleep | SLIB | Slide |
sliding-window | SLIM | slim |
Slingshot | SLIP | SlipKnot |
SLIPS | SLiRP | SLLIC |
slog | Sloop | slop |
slopsucker | slosh | Slot 1 |
Slot 2 | Slot A | slurp |
sm | smail | SMALGOL |
SMALL | Small Business Edition | Small-C |
Small Computer System Interface | small-office/home-office | Small Outline DIMM |
Smalltalk | Smalltalk-80 | Smalltalk/V |
SmallVDM | SMALLWORLD | SmallWorld |
Smarandache logic | SMART | smart |
Smart Battery Data | smart card | Smartdrive |
SMARTdrv | smart terminal | smash case |
smash sum | smash the stack | SMB |
smbclient | smblib | SMBus |
SMCC | SMDS | SMG |
SMI | SMIL | smiley |
smilies | S-MIME | SML |
SML# | sml2c | SML/NJ |
SMM | SMNP | smoke |
smoke and mirrors | smoke-emitting diode | smoke test |
smoking clover | SMoLCS | SMOP |
SMP | SMPS | SMPT |
SMRP | SMS | SMT |
SMTP | smug report | smurf |
sn | SNA | snacc |
snaf | SNAFU principle | snag |
snail mail | SNAP | snap |
snap dump | Snappy | Snappy Video Snapshot |
snarf | snarf & barf | snarf down |
snark | sneakernet | sneck |
snert | SNI | Sniff |
sniff | sniffer | snivitz |
SNMP | SNMP agent | SNMPv2 |
SNOBOL | SNOBOL2 | SNOBOL3 |
SNOBOL4 | SNOOPS | SNPP |
SNR | S/N ratio | SNR bandwidth product |
SO | so | SO 2 |
SOA | SOAP | SOAR |
social engineering | social network | social networking |
social science number | socket | Socket 1 |
Socket 2 | Socket 3 | Socket 370 |
Socket 4 | Socket 5 | Socket 6 |
Socket 7 | Socket 8 | SOCKS |
SOCRATIC | SODA | SODAS |
SO-DIMM | Sod's Law | SOE |
SoftBench | soft boot | softcopy |
Softlab | soft link | SoftModem |
SoftVelocity Inc. | software | Software AG |
software audit | Software BackPlane | software bloat |
Software bus | software copyright | Software Description Database |
Software Developers Kit | software development life cycle | software enabling |
software engineering | Software Engineering Environment | software handshaking |
software interrupt | Software in the Public Interest, Inc. | software laser |
software law | software life-cycle | Software Method |
Software Methodology | software metric | software patent |
software piracy | software pirate | Software Practice and Experience |
Software Productivity Centre | Software Publishing Certificate | Software Publishing Corporation |
software reliability | software rot | software theft |
Software through Pictures | software tool | Software Verification Research Centre |
Software Writer's Language | softwarily | softy |
SOH | SOHIO | SoHo |
SOIF | SOJ | SOL |
Solaris | SOLID | solid state |
Solid State Disk | solid-state storage device | SOLO |
solution | Solution Based Modelling | Solve |
SOM | Somar DumpAcl | Somar Software |
some random X | Sonata | SONET |
Sony Playstation | SORCERER | sorcerer's apprentice mode |
sort | sorting | SOS |
sound | Sound Blaster | sound card |
soundex | soundness | source |
source code | source code control | source code escrow |
source code management | source language | source-level debugger |
source of all good bits | source package | source route |
source routing | southbridge | SP |
SP2 | SP/2 | space |
space bar | space-cadet keyboard | space complexity |
space key | space leak | SPACEWAR |
SPADE | spaghetti code | spaghetti inheritance |
spaghetti with meatballs code | spam | spamdex |
spamming | spanning tree algorithm | SPAR |
SPARC | SPARC International, Inc. | SPARCStation |
SPARCstation 10 | SPARCstation 20 | SPARCsystem 4 |
SPARC Xterminal 1 | SPARK | SPARK Annotation Language |
SPARKS | sparse | Spatial Technologies |
spawn | SPC | SPD |
SPDL | SPE | Speakeasy |
speaker | SPEC | Spec |
spec | SPECbase_fp92 | SPECbase_int92 |
SPECbaserate | SPEC CFP92 | SPEC CINT92 |
SPECfp92 | SPECIAL | special-case |
Special Interest Group | specialisation | specification |
specific markup | SPECint92 | SPECmark |
SPECmark89 | SPECOL | SPEC rate |
SPECrate_base_fp92 | SPECrate_fp92 | SPECrate_int92 |
SPEC ratio | Spectral Band Replication | Spectrum |
speculative evaluation | speculative execution | Speech Application Programming Interface |
speech recognition | Speech Recognition Application Program Interface | speech synthesis |
SPEED | Speedcoding | Speedcoding 3 |
SPEEDEX | speedometer | spell |
spelling flame | Sperry Corporation | Sperry Univac |
SPG | SPGA | SPI |
Spice Lisp | SPID | spider |
Spiderweb | spiffy | spike |
spill | spim | spin |
spin control | spinner | spiral model |
SPIT | SPITBOL | spiware |
SP/k | SPL | SPL/1 |
SPLash! | splash screen | splat |
SPL/I | SPLINTER | split |
Split-C | splot | SPLX |
SPM | SPMD | SPML |
spod | spoiler | sponge |
spoo | spoof | spoofing |
spooge | SPOOL | Spool |
spool | spooler | spool file |
SpoolView | spray | spreadsheet |
spread spectrum communications | SPRING | SPRINT |
Sprintnet | Sprite | sprite |
sprocket feed | SPS | SPSS |
SPSS, Inc. | SPUR | SPX |
spx | spyware | SQE |
SQL | SQL2 | SQL3 |
SQL Access Group | SQL/DS | SQL Module Language |
SQL Server | SQL server | SQLWindows |
SQR | SQRIBE | Square |
square-headed boyfriend | square-headed girlfriend | square tape |
Squeak | Squiggol | squirt the bird |
SR | sr | SRAM |
SRAPI | S. R. Bourne | SRC Modula-3 |
SRDL | SR flip-flop | SRI |
SRI International | SRL | SRP |
SS7 | SSA | SSADM |
SSBA | SSD | SSE |
SSE-2 | ssh | SSH File Transfer Protocol |
SSI | SSID | SSII |
SSL | S/SL | SSLeay |
SSMA | SSO | SSR |
st | ST-506 | STAB |
STAB-11 | stable | stable sort |
STAC | stac compression | stack |
Stack Environment Control Dump machine | stack frame | stacking order |
stack loader | stack overflow | stack pointer |
stack puke | stack traceback | STAGE2 |
Staggered Pin Grid Array | staircase | staircasing |
stale pointer bug | Stalker | stalker_portal |
stalker_portal/c/version.js | Stallman, Richard M. | stand-alone |
standard | Standard Commands for Programmable Instruments | Standard d'Echange et de Transfert |
standard deviation | standard for robot exclusion | Standard for the exchange of product model data |
Standard Generalised Markup Language | Standard Generalized Markup Language | standard input/output |
Standard Instrument Control Library | standard interpretation | standard I/O |
Standard Lisp | Standard ML | Standard ML of New Jersey |
Standard Operating Environment | standard semantics | Standard Widget Toolkit |
St Andrews Static Language | Stanford Artificial Intelligence Laboratory | Stanford Artificial Intelligence Language |
Stanford Research Institute | Stanford University | STAPLE |
Staple | STAR 0 | StarBurst |
StarDict | StarDict console version | StarLISP |
StarMOD | star network | Starset |
STARSYS | start bit | start of authority |
Start Of Header | Start Of Text | start tag |
stat | state | state diagram |
stateless | state machine | statement |
state transition diagram | State University of New York | static |
statically typed | static analysis | static database management system |
static DBMS | static link | static method |
static nested scope | static nested scoping | static RAM |
static random-access memory | static scope | static typing |
static variable | Station Management | Statistical Analysis System |
Statistical Package for the Social Sciences | statistical time division multiplexing | statistics |
StatMUX | status | STB |
STD | STD 1 | STD 13 |
STD 15 | STD 2 | STD 9 |
stderr | stdin | stdio |
stdio.h | STDM | stdout |
STDWIN | steam-powered | Steelman |
steganography | stemmer | stemming |
STENSOR | STEP | Stephen Cole Kleene |
Stephen Jobs | Stephen Kleene | stepper motor |
Stepstone Corp | stepwise refinement | stereogram |
Sterling Software, Inc. | Steve Jobs | Steve's Shell |
Steve Wozniak | STFT | STFU |
STFW | Sticks&Stones | sticky analysis |
sticky bit | sticky content | stiffy |
STIL | STING | STk |
STMP | stochastic | stock-keeping unit |
STOIC | stomp on | STONE |
Stone Age | stone knives and bearskins | Stoneman |
stop bit | stoppage | storage |
Storage Allocation and Coding Program | Storage Area Network | Storage Management Services |
storage media | store | store and forward |
stored procedure | storm | Story of Mel |
STP | StP | STP4 |
Strachey, Christopher | Strand | Strand88 |
Stratus | Strawman | STREAM |
stream | streaming | Streaming SIMD Extensions |
stream-oriented | STREAMS | strength reduction |
STRESS | stress testing | strict |
strict evaluation | strided | string |
String EXpression Interpreter | stringification | stringify |
stringly typed | STring Oriented Interactive Compiler | String Oriented Symbolic Language |
String PRocessING language | string reduction | stripe |
stripe set | striping | STROBES |
stroke | StrongARM | strongly connected component |
strongly typed | strong typing | Stroustrup, Bjarne |
struct | structural recursion | structured analysis |
Structured Analysis and Design Technique | structured design | structure diagram |
structured language | structured programming | Structured Query Language |
Structure of Management Information | strudel | STRUDL |
STSC APL | stty | stub |
stub network | stubroutine | STUDENT |
Student PL/I | studly | studlycaps |
Stuffit | Stupids | stupid-sort |
Sturgeon's Law | STX | style |
su | SUB | subband encoding |
subclass | subject | subject index |
subject-oriented programming | sublanguage | subnet |
subnet address | subnet mask | subnet number |
subroutine | subscribe | Subscriber Identity Module |
subscribing | Subset-Equational Language | Substitute |
substrate | subtype | subtyping |
SuccessoR | successor | sucking mud |
Sue | sufficiently small | SUGAR |
suicideware | SUIF | suit |
suitably small | Suite Synthetique des Benchmarks de l'AFUU | sum |
Summary Object Interchange Format | SUMMER | SUMMER SESSION |
sum of products | sum of products type | Sun |
Sun-2 Workstation | Sun-3 Workstation | Sun-4 Workstation |
sun lounge | Sun Microsystems, Inc. | SunOS |
sunspots | sun-stools | SunView |
SUNY | SUPER | Super 7 |
Superbrain | SuperBrain II | superclass |
supercombinators | supercompilation | supercomputer |
SuperDrive | superhighway | SuperJanet |
SUPERMAC | super minicomputer | SuperPaint |
Super Pascal | superpipelined | superprogrammer |
superscalar | super source quench | SuperTalk |
superuser | Super VGA | Super Video Graphics Adapter |
Super Video Graphics Adaptor | Super Video Graphics Array | supervisor mode |
Supervisory Control and Data Acquisition | SuperZap | Supplementary Ideographic Plane |
support | supremum | Sure |
surfing | SURGE | surjection |
surjective | surrogate key | suspension |
Suzie COBOL | sv | SVC |
SVG | SVGA | SVGA monitor |
SVID | S-Video | SVR4 |
SVRC | SVS | swab |
SWAG | swap | swap file |
swapped in | swapped out | swapping |
swap space | Sweden | SweetLambda |
swf | Swing | SWI-Prolog |
Swiss Army Code | switch | Switched Multimegabit Data Service |
switched virtual circuit | switched virtual connection | switching |
switching hub | switch statement | swizzle |
SWL | SWT | swung dash |
sy | Sybase, Inc. | Sybase SQL Server |
SYDEL | SYGMA | SYLK |
syllogism | Sylvan | Symantec |
SYMBAL | SymbMath | SYMBOLANG |
Symbolic Assembler Program | SYMBOLIC ASSEMBLY | Symbolic Automatic INTegrator |
symbolic inference | Symbolic Link | symbolic link |
symbolic logic | Symbolic Mathematical Laboratory | symbolic mathematics |
Symbolic Optimal Assembly Program | Symbolic Optimum DEUCE Assembly Program | Symbolics, Inc. |
Symbol Manipulation Program | symlink | symmetric |
symmetric key cryptography | Symmetric LISP | symmetric multiprocessing |
symmetric multiprocessor | Symphony | SYMPL |
SYN | sync | Synchronized Multimedia Integration Language |
synchronous | Synchronous Data Link Control | Synchronous Digital Hierarchy |
Synchronous DRAM | Synchronous Dynamic Random Access Memory | Synchronous Graphics RAM |
Synchronous Graphics Random Access Memory | Synchronous idle | synchronous key encryption |
Synchronous Optical NETwork | syncronous | synflood |
synonym ring | syntactic salt | syntactic sugar |
syntax | Syntax-Case | syntax directed translation |
Syntax/Semantic Language | syntax tree | synthesis |
Synthesizer Specification Language | sypware | SyQuest Technology, Inc. |
sysadmin | sysape | Sysdeco Mimer AB |
sys-frog | SYSKEY | SYSLISP |
sysop | Sysplex | sysprog |
system | System/360 | System/370 |
System 5 | System 7.5 | System Account Manager |
system administration | system administrator | system analysis |
system analyst | system board | system call |
system catalog | System Control Language | Systeme International |
System F | System International | system management |
System Management Bus | System Management Mode | System Management Server |
system manager | system mangler | system operator |
System Product Interpreter | system programming language | System R |
Systems Administrators Guild | systems analysis | Systems Analysis Definition |
systems analyst | Systems Application Architecture | Systems Development Life Cycle |
systems jock | Systems Network Architecture | system software |
systems operator | systems programmer | systems programming |
system testing | system unit | System V |
System V Interface Definition | Système International | Système International d'Unités |
systolic array | SysVile | sz |
T | T1 | T1 line |
T1 rate | T3 | T3 line |
TA | TAA | TAB |
table | table locking | tablespace |
TABLET | TABLOG | tab-separated values |
TABSOL | Tabulating Machine Company | TAC |
TACL | TACPOL | Tactile User Interface |
tag | Tagged Image File Format | tagged queueing |
tagged types | tag name | tail call optimisation |
tail call optimization | tail circuit | tail recursion |
tail recursion modulo cons | tail recursion optimisation | tail-strict |
TAL | TALE | Taligent |
talk | talk bomb | talker system |
talk mode | TALL | tall card |
Tandem Application Language | Tandem Computers | Tandy |
Tanenbaum, Andrew | Tangible User Interface | tanked |
TANSTAAFL | TAO | TAOS |
TAP | tap | tape |
tape archive | tape drive | tape head |
Tape Operating System | TAPI | tar |
tar and feather | tarball | targa |
Targa Graphics Adapter | target | Target-Machine Description Language |
TARTAN | taskbar | Task Control Block |
task scheduling | TASM | TASS |
taste | tatar | tau |
tautological probability | tautological set | tautology |
TAWK | Taxis | tayste |
taz | TB | TBF |
TBIL | TBK | Tbl |
tc | T-carrier system | TCB |
TCGS | TC/IX | Tcl |
Tcl Consortium | tcl-debug | Tcl-DP |
tclhttpd | TclX | TCM |
TCO | Tcode | TCOL |
TCOL.Ada | TCP | TCPIP |
TCP/IP | tcsh | Tcsim |
TCVN 5773 | TCVN 6056 | td |
TDD | TDEL | TDF |
TDFL | TDI | TDM |
TDMA | TDR | Teamwork |
Technical/Office Protocol | Technion | technology |
Technology Enabled Relationship Manager | Technology of Object-Oriented Languages and Systems | TechRef |
TECO | tee | TEI |
telco | TELCOMP | Telecommunication Display Device |
Telecommunications Device for the Deaf | Telecommunications Industry Association | telecommuting |
teledildonics | telegraphy | telematics |
TelEnet | TELEPAC | Telephone Application Program Interface |
telephony | Telephony Application Programming Interface | Telephony User Interface |
Telerat | Telescope User Interface | Telescript |
Teletype | teletype | Teletype Corporation |
teletypewriter | TeleUSE | television |
Television Interface Adapter | TELNET | Telocator Alphanumeric Protocol |
Telon | TELOS | TELSIM |
template | template code | template wizard |
TEMPLOG | TEMPO | Tempo |
temporal database | temporal logic | Tempura |
Ten15 | tendinitis | TenDRA |
ten-finger interface | tennis elbow | tense |
tensor product | tenured graduate student | tera- |
terabyte | teraflop | teraflop club |
TERM | TERMAC | termcap |
terminak | terminal | Terminal Access Controller |
Terminal Adapter | Terminal Adaptor | terminal brain death |
terminal emulation | terminal emulator | terminal illness |
terminal junkie | terminal node | Terminal Oriented Real Time Operating System |
Terminal Oriented Social Science | Terminal Productivity eXecutive | terminal server |
Terminal User Interface | Terminate and Stay Resident | termination analysis |
terminator | term rewriting system | Terms Of Service |
ternary | terpri | terrabyte |
Terse | test | test coverage |
test-driven development | testing | TET |
TeX | TeX-78 | TeX-82 |
Texas Instruments | Texinfo | TeX point |
text | text-based | text editor |
Text Encoding Initiative | text file | Text Processing Utility |
Text Reckoning And Compiling | text segment | Text To Speech |
Textual User Interface | texture | tf |
TFDL | TFT | TFTP |
tg | TGA | T-gen |
TGS-II | TGS Systems | tgz |
th | thanks in advance | That's not a bug, that's a feature! |
T.H.E | The Cuckoo's Egg | The Dojo Toolkit |
The Force | The Internet Account | The MathWorks, Inc. |
The Metadata Company | The Microsoft Network | The Mythical Man-Month |
The Network | THEO | theology |
The Open Group | theory | theory change |
The Real-Time Operating System Nucleus | There's More Than One Way To Do It | Theseus |
The story of Mel | The story of Mel, a Real Programmer | The World Of Cryton |
the X that can be Y is not the true X | thicket | thick Ethernet cable |
thick film dielectric electroluminescence | thicknet | thin client |
thin Ethernet cable | ThingLab | Think C |
Thinking Machines Corporation | thinko | thinnet |
third generation computer | third generation language | third normal form |
This can't happen | this dictionary | This time, for sure! |
thn | Thomas | thrash |
thrashing | thread | threaded |
threaded code | threading | Thread Language Zero |
thread-safe | three-finger salute | three-letter acronym |
three-tier | throughput | thud |
Thumb | thumb | thumbnail |
Thunderbird | thunk | TIA |
tick | TickIT | Tickle |
tickle a bug | tick-list features | TIFF |
tiger team | tight loop | tilde |
TILE Forth | Tim Berners-Lee | time bomb |
time complexity | Time Complex Simulator | time division multiple access |
time division multiplexing | Time Domain Reflectometer | timeout |
time quantum | time-sharing | Time Sharing Option |
time shifting | Time Simulator | time sink |
time slice | times-or-divided-by | time T |
Time to Live | time zone | Tim Peters |
Timsort | TINC | Tinman |
tinman+ | TINT | tint |
Tiny | Tiny BASIC | Tiny Basic Interpreter Language |
Tiny Clos | Tiobe | TIP |
TIPL | tip of the ice-cube | tired iron |
tits on a keyboard | tj | Tk |
tk | TK-90X | TK-95 |
T. Kohonen | TK!Solver | TL0 |
TL1 | TLA | TLAs |
TLB | TLD | TLI |
TL/I | T Lisp | TLS |
TLTR | TM | tm |
TMDL | TMG | TMRC |
TMRCie | TMS 9900 | tn |
tn3270 | TNC | TNSTAAFL |
TNX | TNXE6 | to |
to a first approximation | toast | toaster |
toasternet | to a zeroth approximation | toggle |
TOK | token | token bus |
token ring | Tomcat | TomeRaider |
Tom Knight | tone | Tony Hoare |
tool | toolbar | toolbook |
Toolbuilder | Tool Builder Kit | Tool Command Language |
TOOLS | toolsmith | TOP |
top-down design | Top-Down Model | topic drift |
topic group | topic map | topic thread |
top-level domain | topology | TOPS-10 |
TOPS-20 | Tornado | Toronto Euclid |
torrent | TORTOS | TOS |
Toshiba Corporation | TOSS | total function |
totally ordered | totally ordered set | total ordering |
toto | touchpad | touch screen |
tourist | tourist information | Towers of Hanoi |
Tower Technology Corporation | toy | Toy/Ada |
toy language | Toyohashi University Parallel Lisp Environment | toy problem |
toy program | tp | TPA |
TPF | TP/IX | TPL |
TPO | TPS | tptc |
TPU | TPX | tr |
TRAC | traceroute | trace scheduling |
track | track ball | tracker ball |
tracking | tracking cookie | tracking file |
trackpad | TrackPoint | tractor feed |
TrafoLa | Trafola-H | trampoline |
TRANDIR | TRANQUIL | TRANS |
transaction | Transaction Application Language | Transaction Processing Facility |
transceiver | TRANSCODE | transducer |
transfer | transfer full | transfer none |
transfer of control | transfer rate | transfer syntax |
transfinite induction | transformation | transient |
Transient Program Area | transistor | Transistor-Transistor Logic |
TRANSIT | transition ad | transitive |
transitive closure | transit network | Translation Look-aside Buffer |
Transmission Control Protocol | transparent | transparent audio coding |
Transport Driver Interface | transport layer | Transport Layer Interface |
Transport Layer Security protocol | Transport Level Interface | Transport Service Access Point |
transputer | TRANS-USE | trap |
trap door | trap-door function | trash |
Trash-80 | traveling salesman problem | travelling salesman |
travelling salesman problem | traversal | traverse |
traversing | trawl | tree |
tree-killer | tree shaking | TREET |
TREETRAN | Tree Transformation Language | treeware |
Trellis | Trellis Code Modulation | Trident |
trigger | trigger finger | TRIGMAN |
trillion | Trilogy | triple DES |
tri state | trit | Triton |
Triton II | Triton VX | Trivial File Transfer Protocol |
TRO | troff | troglodyte |
troglodyte mode | trojan | Trojan horse |
Trojan:Win32/Ymacco.AAB8 | TROLL | troll |
TRON | tron | Trouble Came Back |
TRS | TRS-80 | Truchet point |
True BASIC | true colour | true hacker |
TRUENAME | TrueType | Trumpet |
TRUSIX | truth table | TS |
TSAP | TSEE | TSIA |
Tsim | TSL-1 | TSO |
TSP | TSR | TSV |
tt | TTD | TTFN |
TTL | TTS | tty |
TTYL | TUB | TUBA |
tube | tube time | Tuckals |
TUI | Tukey, John | Tuki |
tunafish | tune | tunneling |
tunnelling | Tunny Emulator | TUPLE |
tuple | tuple calculus | Tuple Space Smalltalk |
tupling | Turbo C | Turbo C++ |
Turbo Debugger | turbo nerd | Turbo Pascal |
Turbo Prolog | Turing | Turing Machine |
Turingol | Turing Plus | Turing tar-pit |
Turing test | turist | TURN |
turn-key | turtle graphics | TUTOR |
Tuxedo | TV | tv |
tw | TWAIN | tweak |
tweening | tweeter | TWENEX |
Twente Compiler Generator System | Twentel | twiddle |
TWIG | twilight zone | twink |
twinning | Twin Vector Quantization | twip |
twirling baton | twisted pair | twisted pair only |
Twitter | twm | two-binary, one-quaternary |
twonkie | two-phase commit | twos complement |
two-to-the-N | two-valued logic | TX-0 |
TXL | TYMCOM-X | TYMNET |
Tymshare, Inc. | type | type-ahead |
type-ahead search | type assignment | type class |
typed lambda-calculus | TypedProlog | typeface |
type inference | type scheme | typo |
typographical error | typography | TYPOL |
typo squatter | tyt | TZ |
tz | ua | UAN |
UART | UAT | UAW |
UBASIC | UBD | ubiquitous computing |
uC++ | UCB | UCHO |
U-Code | UCP | UCS |
UCSD Pascal | UCS transformation format | UCX |
udb | UDDI | UDF |
UDMA | UDP | uemacs |
UFO | UFO bug | ug |
UGLIAC | UHELP | UI |
uid | UIDL | UIL |
UIMS | UIMX | UIS |
uk | UKC | UKERNA |
UKUUG Ltd. | ULCC | Ulm's Modula-2 System |
ULP | Ultra64 | Ultra-ATA |
Ultra DMA | Ultra-SCSI | Ultrix |
um | UMB | UMB Scheme |
UMDL | UML | uML |
UMTS | unary | unary operator |
UNC | UNCL | UNCOL |
uncompression | uncountable | uncurry |
uncurrying | undefined | undefined external reference |
underflow | Undernet | underscore |
under the hood | undocumented feature | U-NET Limited |
unfold | unfold/fold | UNI |
unicast | Unicode | UniCOMAL |
Unicorny | unicos | Uniface |
unification | Unified Han | Unified Modeling Language |
unifier | UNIFORM | Uniform Naming Convention |
Uniform Resource Citation | Uniform Resource Locater | Uniform Resource Locator |
Uniform Resource Name | Uniform Resource Number | Unify |
unify | Unify Corporation | Unihan |
uninstaller | uninteresting | Uninterruptible Power Supply |
union | Unipalm Group plc | Unipress Software, Inc. |
uniprocessor | UNIQUE | Unique ID Listing |
unique key | unique sales point | Unir Tech |
UNISAP | Unisys Corporation | United Kingdom Education and Research Networking Association |
United Kingdom Unix Users Group | United Technologies Research Cente | Unit Separator |
unit testing | UNITY | Univac |
Universal algebra | Universal Asynchronous Receiver/Transmitter | Universal Character Set |
Universal Communications X | Universal Computer Protocol | Universal Debugger |
Universal Description, Discovery, and Integration | Universal Disk Format | Universal Naming Convention |
universal quantifier | Universal Resource Identifier | Universal Resource Locator |
Universal Serial Bus | universal thunk | Universal Time |
Universal Time Coordinated | universe of discourse | University of Arizona |
University of California at Berkeley | University of Durham | University of East London |
University of Edinburgh | University of Hawaii | University of Iceland |
University of London Computing Centre | University of Michigan | University of Michigan Digital Library Project |
University of Minnesota | University of Nijmegen | University of Pennsylvania |
University of Tasmania | University of Twente | Unix |
Unix box | Unix brain damage | Unix conspiracy |
Unix International | Unixism | Unix man page |
Unix manual page | Unix System V | Unix to Unix Copy |
UnixWare | Unix weenie | Unix wizard |
Unlicense | unnormalised | unproto |
unshar | unshielded twisted pair | unstable |
unswizzle | until | untyped |
unwind-protect | unwind the stack | UN*X |
unzip | up | uparrow |
UPenn | upgradability | upgrade |
upgradeability | upload | UPMAIL Tricia Prolog |
upper bound | Upper Layer Protocol | upper memory block |
Upper Side-Band modulation | Upright Database Technology AB | UPS |
upstream | upthread | upward closure |
Uranus | urban legend | URC |
urchin | URI | URL |
URL forwarding | URL redirection | URN |
URouLette | US | us |
usability | USAModSim | usa.net |
US-ASCII | USB | USB 2.0 |
USB Adapter Card Support | USB drive | USE |
Usenet | Usenet news | Usenetter |
USENIX | user | user acceptance testing |
user base | User Brain Damage | User Datagram Protocol |
user experience | user-friendly | user id |
user identifier | user interface | user interface copyright |
User Interface Language | user name | User Network Interface |
user-obsequious | user-unctuous | Use the Source Luke |
USG Unix | usim | USL |
USP | USR | usr |
US Robotics | U.S. Robotics, Inc. | USSA |
UTC | UTF | UTF-8 |
utility | utility-coder | utility program |
utility software | UTOPIST | UTP |
UTRC | UTSL | uucp |
UUCPNET | uudecode | uuencode |
UUNET PIPEX | UUPC | UX |
uy | uz | V |
V.10 | V.11 | V.17 |
V.21 | V.22 | V.22bis |
V.23 | V.24 | V.25 |
V.25 bis | V.27 ter | V.28 |
V.29 | V.32 | V.32bis |
V.32ter | V.34 | V.35 |
V.42 | V.42bis | V.90 |
V.91 | va | vacuum tube |
vadding | VAL | Valencia Simple Tasker |
valency | Valid | validation |
value | Value Added Network | value added reseller |
value added retailer | valve | vampire tap |
VAN | vanilla | vanity domain |
vannevar | Vannevar Bush | vaporware |
vapourware | VAR | var |
varchar | variable | Variational Graphics eXtended |
VAX | VAX DOCUMENT | VAXectomy |
VAXen | vaxherd | vaxism |
VAX MIPS | vaxocentrism | VAXset |
VAXstation | VAX/VMS | VB |
VBA | vbell | VBScript |
vbx | vc | VCC filtering |
VCD | VCID | VCL |
VCODE | VCPI | VCR |
vdiff | VDL | VDM |
VDM++ | VDM-SL | VDSL |
VDT | VDU | vdx |
ve | vector | Vector C |
vector font | vector graphics | vector processor |
vector space | VECTRAN | VEE |
veeblefeetzer | veeblefester | veeblefetzer |
VEL | Vendor Independent Messaging | ventilator card |
Ventura Publisher | Venus flytrap | verbage |
verbiage | Verdi | verification |
Verilog | Verilog SA | Veronica |
Versa Module Europa | version | Version 7 |
vertical application | vertical bar | vertical encoding |
vertical loop combination | vertical microcode | Vertical Redundancy Check |
vertical refresh rate | vertical scan rate | Very Efficient Speculative Parallel Architecture |
Very high bit-rate Digital Subscriber Line | Very Large Database | Very Large Memory |
Very Large Scale Integration | Very Long Instruction Word | Very Small Aperture Terminal |
VESA | VESA Local Bus | VESPA |
V.FAST | VFAT | V.FC |
vg | VGA | VGQF |
vgrep | VGX | VHDL |
VHE | VHLL | vhost |
VHS | vi | VIC-20 |
victim cache | video | video adaptor |
video card | Video Compact Disc | video compression |
video conference | video conferencing | video dial tone |
video display standard | video display terminal | Video Electronics Standards Association |
Video Graphics Adapter | Video Graphics Adaptor | Video Graphics Array |
video memory | Video on Demand | video RAM |
video random-access memory | video terminal | videotex |
Vienna Definition Language | Vienna Definition Method | Vienna Development Method |
Vienna Development Method Specification Language | Vienna Fortran | Vietnamese |
viewer | ViewPoints | Views |
VIF | Vi Improved | VIM |
Vincennes LISP | vines | Vine Technology |
Vint Cerf | Vinton Cerf | Viola |
virgin | virgule | Viron |
virtual | virtual 86 mode | virtual address |
virtual cache | virtual circuit | Virtual Circuit Identifier |
virtual connection | Virtual Control Program Interface | Virtual Device Driver |
Virtual Device Location | virtual disk | Virtual Home Environment |
virtual host | Virtual LAN | Virtual Loadable Module |
Virtual Local Area Network | Virtual Machine | virtual machine |
Virtual Machine/Conversational Monitor System | Virtual Machine Environment | Virtual Machine/ESA |
virtual machine manager | Virtual Machine/System Product | Virtual Machine/XA |
virtual memory | Virtual Memory System | virtual path |
virtual point of presence | virtual PoP | Virtual Private Network |
virtual reality | Virtual Reality Modeling Language | Virtual Sequential Access Method |
virtual server | virtual shredder | Virtual Software Factory |
Virtual Storage Access Method | Virtual Storage Extended | Virtual Telecommunications Access Method |
virus | viruses | visible bell |
VisiCalc | visionary | visit |
Visual BASIC | Visual Basic for Applications | Visual BASIC Script |
visual bell | Visual C++ | Visual Component Library |
Visual dBASE | Visual Display Unit | Visual FoxPro |
Visual Interface | visualisation | visual language |
visual programming | visual programming environment | visual programming language |
VisualWorks | VITAL | VIVID |
viz | VLAN | VLB |
VLDB | Vlisp | VLIW |
VLM | VLSI | VLSM |
VM | VM/CMS | VME |
VMEbus | VME Microsystems International Corporation | VM/ESA |
VML | VMS | VM/SP |
VM/XA | vn | vocoder |
vocoding | VoD | voice mail |
voice-net | Voice over IP | voice recognition |
VoIP | volatile | volatile memory |
volatile storage | volatile variable | voltage |
Volume Table Of Contents | von Neumann architecture | von Neumann integer |
von Neumann, John | von Neumann machine | von Neumann ordinal |
voodoo programming | Voronoi diagram | Voronoi polygon |
VOS | Voters Telecommunications Watch | voxel |
V.pcm | VPL | VPN |
VP-Planner | VQF | VR |
VRAM | VRC | Vrije Universiteit, Amsterdam |
VRML | VRTX | VSAM |
VSAT | VSCM | VSE |
V series | VSF | VSP |
VSTa | VSX | VT |
vt100 | vt220 | VTAM |
VTC | VTOC | VTS |
VTW | vu | VUE |
VUIT | VULCAN | Vulcan death grip |
Vulcan nerve pinch | vulnerability | vulture capitalist |
VUP | VxD | VXI |
VxWorks | W2K | W3 |
W3C | W3 Consortium | WA-12 |
wabbit | Wabi | WabiServer |
wacco | Wafe | WAFL |
WAIS | WAITS | wait state |
waldo | walk | walking drives |
walk off the end of | wall | wall clock time |
wall follower | wallpaper | wall plate |
wall time | WAM | WAN |
Wang Laboratories | wango | Wang PC |
wank | wannabee | want list |
WAP | WAP Forum | warchalk |
Ward Christensen | Ward Cunnigham | wardialer |
wardriving | warez | WarGames |
warlording | warm boot | Warm Silence Software |
Warp | wart | washing machine |
Wasserman | WATBOL | Watcom C/C++ |
Watcom International | Watcom SQL | Watcom VX*REXX |
Waterfall Model | water MIPS | WATFIV |
WATFOR | wav | WAVE |
wave a dead chicken | wave division multiplexing | Waveform Generation Language |
wavelength division multiplexing | wavelet | wavetable |
wavetable synthesis | WaZOO | wb |
WBEM | WBMP | WBS |
WCDMA | WCL | WD |
WDASM | WDM | WE |
Weak Head Normal Form | weakly typed | weak typing |
weasel | web | Web 2.0 |
web2c | web address | web authoring |
Web-Based Enterprise Management | web browser | webcam |
webcasting | WebCGM | WebCOMAL |
web consortium | web cramming | WebCrawler |
WebDAV | Web Distributed Authoring and Versioning | webhead |
web host | web hosting | WEB - language |
weblint | web log | web mail |
webmaster | webmistress | webmonkey |
WebObjects | web page | web proxy |
Web Request Broker | web server | Web Service Definition Language |
Web Services | Web Services Business Process Execution Language | website |
web smith | Webster | Webster's Dictionary |
wedged | wedgie | wedgitude |
Weeble | weeds | weenie |
Weenix | weighted search | well-behaved |
well-connected | well-known port | well-ordered set |
WEP | Wesley Clark | Western Digital Corporation |
Westmount | wetware | wf |
WFL | WfMC | WFW |
WFWG | WG | WGL |
whack | whacker | whales |
whalesong | whatis | What's a spline? |
What You Get Is What You Never Thought You Had | What You See Is All You Get | What You See Is What You Get |
wheel | wheel bit | wheel wars |
When It's Done | Whetstone | Which Stands For Nothing |
while | while loop | Whirlwind |
White Book | White book CD-ROM | white box testing |
White pages | white paper | white point |
Whitesmiths style | whitespace | white trash |
WHNF | whois | whole number |
Whopper | WHQL | WIBNI |
WIC | Wide Area Information Servers | Wide Area Network |
Wide Area Telecommunications Service | Wideband ATM | Wide SCSI |
widget | wi-fi | Wi-Fi Protected Access |
Wi-Fi Protected Access Pre-Shared Key | wiggles | wiki |
WiLAN | Wild_LIFE | wild card |
Wilf Hey | William Gibson | William Hamilton |
William Joy | WIMP | WIMP environment |
win | Win2K | Win32 |
Win32s | WIN386.SWP | Win 95 |
Win 98 | winchester | windowing |
windowing system | window manager | Window RAM |
Window Random Access Memory | Windows | Windows 1 |
Windows 2 | Windows 2000 | Windows/286 |
Windows 2K | Windows 3.0 | Windows 3.1 |
Windows 3.11 | Windows/386 | Windows 4GL |
Windows 94 | Windows 95 | Windows 98 |
Windows 9X | Windows Application Binary Interface | Windows CE |
Windows for Workgroups | Windows for Workgroups 3.1 | Windows for Workgroups 3.11 |
Windows Hardware Quality Labs | window shopping | Windows Internet Naming Service |
Windows Management Interface | Windows ME | Windows Messaging |
windows messaging | Windows Millennium Edition | Windows NT |
Windows NT 3.1 | Windows NT 3.5 | Windows NT 4 |
Windows NT 5 | Windows NT Network Model | Windows Open Service Architecture |
Windows Registry | Windows sockets | Windows XP |
Windows XP Pro | Windows XP Professional Edition | window system |
Windoze | Wind River Systems | winged comments |
winkey | WinMaker Pro | WINS |
Winsock | WinSoft Products Ltd | wintel |
WINZIP | wired | Wired Equivalent Privacy |
wirehead | wireless | Wireless Application Protocol |
wireless bitmap | Wireless Encryption Protocol | wireless hotspot |
wireless local area network | Wireless Local Loop | Wireless Transport Layer Security |
wirewater | WISCII | wish list |
Wisp | within delta of | within epsilon of |
wizard | Wizard Book | wizardly |
wizard mode | WizDOM | wk1 |
WLAN | WLL | WMA |
wmf | WMI | WML |
WMV | WNPP | WO |
Wolfram Research, Inc. | WOM | woman |
WOMBAT | wombat | wombat.doc.ic.ac.uk |
WonderPop | Woodenman | woofer |
WOOL | Worcester Polytechnic Institute | Word |
word | Word for Windows | WordNet |
WordPerfect | WordPerfect Corporation | word processing |
word processor | word size | word spamming |
WordTech | word wrap | workaround |
Work Breakdown Structure | workflow | Workflow Management Coalition |
workgroup | working as designed | working memory |
working set | working set model | Work Needed and Prospective Packages |
work path | worksheet | workstation |
World Time | World-Wide Wait | World-Wide Web |
World Wide Web Consortium | World Wide Web Worm | WORM |
worm | wormhole | wormhole routing |
WOSA | WOSA Extensions for Financial Systems | WOSA/XFS |
wound around the axle | WPA | WPA Pre-Shared Key |
WPA-PSK | WPG | WPI |
WPL+ | wp-login.php | WPOP |
wps | WRAM | wrap around |
wrapper | wrats nest | wrb |
write | WRITEACOURSE | write-back |
write buffer | Write-Once Read-Many | write-only code |
write-only language | write-only memory | write protect |
write-through | write-thru | writing system |
Wrong Thing | WRT | ws |
WSBPEL | WSDL | WSFN |
WSL | WTF | WTFPL |
WTH | WTLS | Wumpus |
WWW | WWW browser | WWWW |
WYGIWYNTYH | WYSIAYG | WYSIWYG |
WYSWYG | X | X-1 |
X11R4 | X11R5 | X11R6 |
X.12 | X2 | X.208 |
X.209 | X.21 | X.214 |
X.215 | X.216 | X.217 |
X.219 | X.224 | X.225 |
X.226 | X.227 | X.229 |
X.25 | X.28 | X.29 |
X.3 | X3J16 | X3T10 |
X.400 | X.409 | X.500 |
X.680 | X.75 | x86 |
x86 processor socket | XA | Xaw |
Xbase | xbeeb | xbm |
XC | X client | X Consortium |
Xcoral | xdbx | X-Designer |
X.desktop | XDL | XDR |
XDS 530 | XDS 940 | xDSL |
Xemacs | XENIX | Xeon |
xerox | XEROX Corporation | Xerox Data Systems Model 530 |
Xerox Data Systems Model 940 | XEROX Network Services | Xerox Network System |
XEROX PARC | Xerox Star | XFree86 Project, Inc. |
XFS | Xfun | XGA |
XGA-2 | XHTML | Xi |
XIE | Xilinx, Inc. | Xilinx Netlist Format |
X Image Extension | Xlib | xlisp |
xls | XML | xmlrpc.php |
XML schema | XML Template Pages | XML User-Interface Language |
XMM | XMODEM | XMODEM-1K |
xmosaic | XMS | XNF |
xnf2ver | XNS | XOFF |
XON | XON/XOFF | Xopen |
X/Open | X/open Portability Guide | X/Open System Interface |
xor | XP | XPC |
XperCASE | XPG | XPG3 |
XPL | xpm | XPOP |
XP Pro | Xpress Transport Protocol | X protocol |
xref | XRemote | XRN |
XSB | XScheme | x-scm |
XSD | X server | XSI |
XSL | XSLT | XT |
Xt | XT bus architecture | xterm |
X terminal | XTI | XTP |
XTP Forum | XTRAN | XUI |
XUL | Xv++ | XVGA |
XView | XVT | X-Windows |
X Window System | XWIP | xxgdb |
XXX | Xy-pic | xyzzy |
Y | Y2K | YA- |
Yaa | YABA | yacc |
YADE | YAFIYGI | YAGNI |
Yahoo | Yale Haskell | Yamaha |
YAML | YAML Ain't Markup Language | yank |
YAPS | YASOS | YAUN |
Yay | ye | Year 2000 |
Yellow | Yellow Book | Yellow Book CD-ROM |
Yellow Book, Jargon | Yellow Box | Yellow Pages |
yellow wire | Yerk | Yet Another |
Yet Another Compiler Compiler | Yet Another Scheme Object System | Yet Another Yacc |
YGMTPO | YLISP | YMMV |
YMODEM | YMODEM-G | yocto- |
Yoda condition | YOL Computers | yotta- |
yottabyte | You are not expected to understand this | You aren't gonna need it |
Yourdon | Yourdon/Constantine | Yourdon/Demarco |
Yourdon, Inc. | Yourdon methodology | Your mileage may vary |
youtube.com | YouTube, Inc. | Yow! |
yoyo mode | YP | YSM |
yt | YTalk | yu |
Yu-Shiang Whole Fish | Z | Z++ |
Z-1013 | Z180 | Z3 |
Z39.50 | Z8 | Z80 |
Z8000 | za | ZAP |
zap | ZAPP | Z-buffer |
ZEBRA | Zed | Zen |
zen | ZENO | zepto |
Zermelo Fränkel set theory | Zermelo set theory | ZERO |
zero | Zero and Add Packed | zero assignment |
zero-content | Zero Insertion Force | zeroth |
ZEST | ZetaLisp | zetta- |
zettabyte | Zeus | ZFC |
ZF expression | ZIF | zigamorph |
ZIL | Zilog | Zilog Z280 |
Zilog Z8 | Zilog Z80 | Zilog Z8000 |
Zilog Z80000 | Zilog Z80A | zip |
Zipcode | Zip disc | Zip disk |
Zip Drive | zip file | zip function |
zipped | zipped file | zipperhead |
zm | ZMODEM | ZOG |
ZOLA Technologies | zombie | zombie process |
zone | Zoo | zoo |
zoom | Zoomer | ZOPL |
zorch | Zork | zorkmid |
z/OS | zr | zsh |
Z shell | ZUG | Zulu time |
ZUSE | Zuse | Zuse, Konrad |
zw | ZX-80 | ZX-81 |
zxnrbl | ZX Spectrum | Zynet Ltd. |
ZyXEL | { | {$formKeywords} |
{IDF} | {log} | {searchTerms} |
| | } | ~ |
~# | £ | µCurse |